Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of...

121
Improving the Fabrication of Semiconductor Bragg Lasers by Eric Ping Chun Chen A thesis submitted in conformity with the requirements for the degree of Master of Applied Science Graduate Department of The Edward S. Rogers Sr. Department of Electrical and Computer Engineering University of Toronto Copyright 2017 by Eric Ping Chun Chen

Transcript of Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of...

Page 1: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Improving the Fabrication of Semiconductor Bragg Lasers

by

Eric Ping Chun Chen

A thesis submitted in conformity with the requirementsfor the degree of Master of Applied Science

Graduate Department of The Edward S. Rogers Sr. Department ofElectrical and Computer Engineering

University of Toronto

© Copyright 2017 by Eric Ping Chun Chen

Page 2: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Abstract

Improving the Fabrication of Semiconductor Bragg Lasers

Eric Ping Chun Chen

Master of Applied Science

Graduate Department of The Edward S. Rogers Sr. Department of Electrical and

Computer Engineering

University of Toronto

2017

Fabrication process developments for Bragg reflection lasers have been optimized in this

thesis using resources available to the group. New e-beam lithography and oxide etch

recipes have been developed to minimize sidewall roughness and residues. E -beam

evaporated metal contacts for semiconductor diode laser utilizing oblique angle deposi-

tion have also been developed in-house for the first time. Furthermore, improvement

in micro-loading effect of DFB laser etching has been demonstrated where the ratio of

tapered portion of the sidewall to total etch depth is reduced by half, from 33% to

15%. Electrical, optical and thermal performance of the fabricated lasers are character-

ized. Comparing the results to previous generation lasers, average dynamic resistance is

decreased drastically from 14Ω to 7Ω and threshold current density also reduced from

1705A/cm2 to 1383A/cm2. Improvement in laser performance is result of reduced loss

from optimized fabrication processes. BRL bow-tie tapered lasers is then fabricated for

the first time and output power of 18mW at 200mA input is measured. Benefiting from

the increased effective area and better carrier utilization, reduction in threshold current

density from 1383A/cm2 to 712A/cm2 is observed.

ii

Page 3: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Acknowledgements

The graduate school experience has profound impact on my personal growth and I

am truly grateful for everyone that helped throughout this incredible journey. It has

been a great pleasure working with each and every one of you.

First and foremost, I would like to thank my supervisor, Professor Amr Helmy, for

his trust in me and the invitation to join his group. This thesis would not have been

possible if not for his guidance and valuable insights. His support and encouragement

through the ups and downs of the project kept me motivated and propelled me forward.

I would also like to thank my thesis committee members, Professor Nazir P. Kherani,

Professor Harry E. Ruda and Professor Paul B. Yoo, for their time and effort.

I am extremely grateful to members in the Helmy Group for their help and support.

To begin with, I’d like to thank Paul Charles, who is often able to view problems from

different angles and provide valuable insights with his vast industry knowledge. I would

also like to thank Bilal Janjua and Greg Iu for their help in process optimization and

laser characterization. Furthermore, I’d like to thank Charles Lin and Yiwen Su for their

inputs on tackling fabrication challenges. Also, a big shout out to Steve, Pohan, Ryan,

Daniel, Herman and many more members in the group for being there whenever I needed

them.

I would also like to extend my appreciation to the tremendous support from TNFC

staff members, Henry Lee, Yimin Zhou, Alexander Tsukernik, Edward Huaping Xu and

Harlan Kuntz, for their technical advices on various fabrication processes.

Finally, I’d like to thank my family who has supported me throughout the entire

process. To my parents and my sister, thank you for always being there for me. To my

parents in law, thank you for your trusts. Last but not least, to the most important

woman of my life, Sylvia, thank you for your unconditional love and encouragement. I

could not have completed this thesis without your unparalleled support and trust.

iii

Page 4: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Contents

Acknowledgement iii

Table of Contents vi

List of Tables vii

List of Figures xiii

1 Introduction 1

1.1 Semiconductor Lasers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1

1.1.1 Advantages of Semiconductor Lasers . . . . . . . . . . . . . . . . 2

1.1.2 Applications of Semiconductor Lasers . . . . . . . . . . . . . . . . 3

1.2 Brief History of Semiconductor Lasers . . . . . . . . . . . . . . . . . . . . 6

1.3 Types of Semiconductor Lasers . . . . . . . . . . . . . . . . . . . . . . . 8

1.3.1 Vertical-cavity Surface-emitting Lasers . . . . . . . . . . . . . . . 8

1.3.2 Distributed Feedback Lasers . . . . . . . . . . . . . . . . . . . . . 9

1.3.3 Ring Laser . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10

1.4 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11

1.5 Thesis Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13

2 Background 16

2.1 Bragg Reflection Waveguide Platform . . . . . . . . . . . . . . . . . . . . 16

iv

Page 5: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

2.1.1 Bragg Reflection Waveguide . . . . . . . . . . . . . . . . . . . . . 16

2.1.2 Bragg Reflection Lasers . . . . . . . . . . . . . . . . . . . . . . . 20

2.2 Fabrication Techniques . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26

2.2.1 E-beam Lithography . . . . . . . . . . . . . . . . . . . . . . . . . 26

2.2.2 Reactive Ion Etching . . . . . . . . . . . . . . . . . . . . . . . . . 29

2.2.3 Thin-film Deposition . . . . . . . . . . . . . . . . . . . . . . . . . 33

3 BRL Fabrication Process Development 37

3.1 Laser Fabrication Process Flow . . . . . . . . . . . . . . . . . . . . . . . 38

3.2 New Electron Beam Lithography Resists . . . . . . . . . . . . . . . . . . 40

3.2.1 ZEP-520A . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42

3.2.2 PMMA/MMA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44

3.3 Oxide RIE Recipe Developments . . . . . . . . . . . . . . . . . . . . . . 46

3.4 Metal Contacts Evaporation . . . . . . . . . . . . . . . . . . . . . . . . . 50

3.4.1 Oblique Angle Deposition . . . . . . . . . . . . . . . . . . . . . . 52

3.4.2 Transmission Line Measurement . . . . . . . . . . . . . . . . . . . 54

3.5 AlGaAs RIE Optimization for DFB Laser . . . . . . . . . . . . . . . . . 56

3.6 Process Integration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60

3.7 Summary of Fabrication Process Developments . . . . . . . . . . . . . . 62

4 Characterization of BRL Diode Laser 63

4.1 Wafer Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63

4.2 Laser Performance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66

4.2.1 Light-current-voltage Characteristics and Spectrum . . . . . . . . 67

4.2.2 Thermal Sensitivity . . . . . . . . . . . . . . . . . . . . . . . . . . 70

4.2.3 Loss Measurement . . . . . . . . . . . . . . . . . . . . . . . . . . 72

4.3 Tapered Diode Laser . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73

4.4 Summary of Diode Laser Characterization . . . . . . . . . . . . . . . . . 76

v

Page 6: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

5 Conclusion and Future Directions 79

5.1 Future Direction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81

A Detailed step-by-step fabrication process 82

Bibliography 90

vi

Page 7: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

List of Tables

2.1 Process parameters of e-beam lithography and its effect on process. . . . 29

3.1 Spinning parameters for ZEP520A . . . . . . . . . . . . . . . . . . . . . . 43

3.2 E-beam lithography exposure parameters for ZEP-520A . . . . . . . . . . 43

3.3 Spinning parameters for PMMA . . . . . . . . . . . . . . . . . . . . . . . 46

3.4 E-beam lithography exposure parameters for PMMA/MMA . . . . . . . 46

3.5 C4F8-based oxide RIE process parameters . . . . . . . . . . . . . . . . . 47

3.6 Newly optimized gas composition of C4F8-based oxide RIE recipe details. 49

3.7 Experiments of different CHF3-based oxide RIE recipes to examine etch

rate and selectivity. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49

3.8 P-contact metal deposition process parameters . . . . . . . . . . . . . . . 50

3.9 N-contact metal deposition process parameters . . . . . . . . . . . . . . . 51

3.10 Recipe details of the AlGaAs RIE optimization experiments. . . . . . . . 60

4.1 Comparison of important device characteristics of BRL8 to BRL7. . . . . 77

vii

Page 8: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

List of Figures

1.1 Wavelength operation range for various material composition of semicon-

ductor lasers, with permission to reproduce from [1]. . . . . . . . . . . . . 3

1.2 Measured total fiber loss as a function of wavelength, with permission to

reproduce from [1]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

1.3 Simulated mid-IR absorption spectra from 3 to 12 µm [2]. . . . . . . . . 6

1.4 Evolution of threshold current density of semiconductor lasers [47] ©IEEE

2000. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

1.5 (a) Cross-section SEM, and (b) Sidewall SEM, of BRL, with permission

to reproduce from [3]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13

1.6 (a) SEM of the latest attempt on fabricating DFB laser. Due to differential

etching from the small surface opening, current recipe for AlGaAs RIE is

insufficient to produce a straight etch profile. (b) Poor quality of fabricated

ring laser due to buffered oxide etch (BOE) attacking the oxide used during

planarization, resulting in severe cracks and damages. With permission to

reproduce from [4]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14

1.7 Output spectra of the previously fabricated DFB laser showing the unde-

sired multi-mode characteristic, with permission to reproduce from [4]. . 14

2.1 Schematic diagram of BRW showing the orthogonal propagation direction

to TBR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

2.2 χ2 three-wave mixing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18

viii

Page 9: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

2.3 Schematic illustration of a typical BRL. . . . . . . . . . . . . . . . . . . . 21

2.4 CW L-I-V curve of a BRL with temperature sweep from 10C to 100C [87]

©IEEE 2010. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22

2.5 (a) LI curve for BRL at 500 mum (solid line), 580 mum (dashed red

line) and 970 mum (dashed blue line) cavity lengths. (b) Simulated vs.

measured (just above threshold and 50x threshold) near-field (NF) profile

of the Bragg mode. With permission to reproduce from [3]. . . . . . . . . 23

2.6 (a) Demonstrated DFG using BRL with the generated idler peak at 1725

nm. (b) External optical pump at 816.5 nm. (c) Normalized optical spec-

trum of BRL with 40mA (black solid line) and 100mA (dashed blue line)

current injection. (d) Simulated DFG tuning curve of the designed BRL.

(e) Measured DFG tuning curve. With permission to reproduce from [4]. 24

2.7 Bragg mode loss varies with AlGaAs etch depth, with permission to re-

produce from [4]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25

2.8 Output spectra of FP laser as current increases from 85mA to 140mA [101]

©IEEE 2011. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25

2.9 Steps of e-beam lithography. . . . . . . . . . . . . . . . . . . . . . . . . . 27

2.10 Electron-optical control system inside an e-beam lithography column [5]. 28

2.11 (a) Isotropic etches in all direction, resulting in undercut. Etch rate may

differ in horizontal and vertical direction. (b) Anisotropic etches only in

vertical direction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30

2.12 Schematic illustration of an (a) RIE chamber, and (b) ICP-RIE cham-

ber from Oxford Instrument. Both images taken from Oxford Instrument

website. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31

2.13 (a) Schematic illustration of an Oxford PECVD chamber. Image taken

from Oxford Instrument website. (b) Schematic illustration of the se-

quence of events for CVD process. . . . . . . . . . . . . . . . . . . . . . . 34

ix

Page 10: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

2.14 Schematic of e-beam evaporator chamber, with permission to reproduce

from [125]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35

3.1 Major fabrication stages of semiconductor diode laser . . . . . . . . . . . 38

3.2 Schematic illustration of detailed process flow for each stage. . . . . . . . 39

3.3 Vistec EBPG 5000+ hosted in the basement of Wallberg building of Uni-

versity of Toronto [6]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41

3.4 Bulk and sleeve layers are utilized to minimize sidewall roughness. (a)

Pattern is separated into bulk (red) and sleeve (green) layers. (b) An

overlap of 200nm between bulk and sleeve is used to ensure sufficient no

stitching issues. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41

3.5 Two VPFX-6 wetbenches with resist spinner and hot plate [6]. . . . . . . 42

3.6 Figure of ZEP520A resist spin speed vs. thickness. . . . . . . . . . . . . 43

3.7 Optical images of the dose test samples ranging from (a) 120µC, to (b)

130µC, to (c) 140µC and (d) 150µC. . . . . . . . . . . . . . . . . . . . . 45

3.8 Dose test from 160 µm to 190 µm. . . . . . . . . . . . . . . . . . . . . . . 45

3.9 SEM cross-section of the under-cut profile of MMA/PMMA bi-layer. . . . 46

3.10 SEM cross-section of the (a) original oxide etch recipe where significant

resist damages translated to rough sidewall, (b) newly developed C4F8

recipe where there is no resist damages and smoother sidewall is clearly

evident, (c) scattered residue remaining on etched surface, (d) new C4F8

recipe with reduced residues. . . . . . . . . . . . . . . . . . . . . . . . . 48

3.11 (a) SEM of the same C4F8 recipe on new Cobra tool which suffered severe

redeposition. (b) Positive profile where the bottom width is wider than

designed in layout. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48

3.12 SEM cross-section of a (a) low mag, and (b) high mag image of the im-

proved oxide RIE recipe using new CHF3/Ar recipe. . . . . . . . . . . . 49

3.13 Image of the new Angstrom NEXDEP evaporator. . . . . . . . . . . . . . 51

x

Page 11: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

3.14 (a) Schematic of metal probing pad connection to via opening on top of the

ridge. (b) Initial attempt where poor contact step coverage was observed

due to directionality of e-beam evaporated deposition. (c) Improved step

coverage as a result of oblique angle deposition. . . . . . . . . . . . . . . 52

3.15 Schematic of a simple semiconductor resistor with two metal contacts on

each side. The basic governing equations of TLM can be deduced from

this setup. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55

3.16 (a) Partial layout schematic of conventional TLM. (b) Illustration of cur-

rent crowding due to layout geometry. (c) Partial layout schematic of

CTLM. (d) Illustration of current distribution, no current crowding be-

cause of symmetry. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56

3.17 Transmission line measurement plot of total resistance vs. gap distance.

Linear fit is used to extrapolate RC and LT as shown. . . . . . . . . . . . 57

3.18 Schematic of DFB design [4]. . . . . . . . . . . . . . . . . . . . . . . . . . 58

3.19 SEM cross-section image of (a) Exp#1 where the RF power was increased

to 250W, (b) Exp#2 where RIE power was increased to 100W, (d) Exp#3

where BCl3 and Cl2 were increased to 8sccm, (f) Exp#4 where Ar was

increased to 15sccm, (e) Exp#5 where pressure was increased to 10mT

and Ar was increased to 15sccm, and finally, (f) Exp#6 where pressure

was increased to 15mT and Ar was increased to 20sccm. . . . . . . . . . 59

xi

Page 12: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

3.20 SEM cross-section image of (a) Initial laser suffered from poor sidewall

quality and severe micro-grass in the etched area due to un-optimized e-

beam lithography and oxide RIE recipes. (b) Good passive device with

optimized e-beam lithography and oxide RIE recipes. (c) BOE attacked

high Al concentration layers, resulting in the cascaded profile as shown.

(d) Sidewall oxide damaged from excessive BOE and also suffered from

poor step coverage of evaporated metal. (e) Most recent optimized process

showed good fabrication quality. . . . . . . . . . . . . . . . . . . . . . . . 61

4.1 Schematic of BRL8 wafer stack details . . . . . . . . . . . . . . . . . . . 64

4.2 (a) Simulation of the effective refractive indices of each wave on the top,

and the field profiles of Bragg mode in red and TIR mode in black. Sim-

ulation of (b) the IV curve and (c) the LI curve with respect to current

density. With permission to reproduce from [7]. . . . . . . . . . . . . . . 65

4.3 Experimental setup used to characterize laser performance, with permis-

sion to reproduce from [7]. . . . . . . . . . . . . . . . . . . . . . . . . . . 66

4.4 (a) SEM cross-section image of the initial laser characterized where it suf-

fered from oxide sidewall damages and poor metal sidewall coverage. (b)

SEM cross-section image of typical laser quality fabricated using the opti-

mized process recipes. (c) Pulsed LIV with a 5% duty cycle of the initial

laser characterized. A threshold current of 44mA, turn on voltage of 1.4V,

and an output power of 2mW at 100mA current input were measured. (d)

Pulsed LIV with a 5% duty cycle of BRL8 laser fabricated using optimized

process recipes. A threshold current of 26mA, turn on voltage 1.6V, and

an output power of 6mW at 100mA current input were measured. . . . . 68

4.5 (a) LIV and dynamic resistance measurement of BRL8 laser operating in

CW mode. (b) Spectrum of the BRL8 laser at 100mA input current. . . 69

4.6 LI curve for temperature ranging from 20C to 55C in CW mode . . . . 71

xii

Page 13: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

4.7 Change in (a) threshold current, and (b) slope efficiency with temperature. 71

4.8 Plot of 1/ηD with varying cavity lengths. . . . . . . . . . . . . . . . . . . 73

4.9 Schematic illustration of bow-tie design. . . . . . . . . . . . . . . . . . . 74

4.10 CW mode LIV of (a) 0, (b) 1, (c) 2, (d) 3 and (e) 4 bow-tie diode laser. 74

4.11 LI curve for various degree tapering by current density. . . . . . . . . . . 76

4.12 Spectrum of (a) 2 tapered bow-tie and (b) 4 tapered bow-tie. . . . . . . 76

xiii

Page 14: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 1

Introduction

Lasers and its wide range of applications have significantly revolutionized modern tech-

nologies. The concept of laser dates back almost 60 years to the prominent theory

proposed by Schawlow and Townes in 1958 [8]. Developments in various kinds of lasers

quickly followed; for example, solid-state ruby laser [9], He-Ne gas laser [10], semicon-

ductor laser [11][12][13][14] and chemical laser [15], to just name a few. Since then,

more powerful and compact lasers have been developed and implemented with practical

applications that spread across industrial, medical, military and scientific fields.

Semiconductor lasers, in particular, are widely recognized as one of the most im-

portant type of lasers thanks in part to its small footprint, low power consumption and

compatibility in photonic integrated circuits [1][16]. Its usefulness spans across numerous

applications such as medical sensing, CD/DVD, laser machining, targeting, and especially

in the area of optical telecommunication.

1.1 Semiconductor Lasers

Semiconductor laser is also commonly referred to as a diode laser, as it consists of p-n

junctions formed by semiconductor materials similar to that found in a light-emitted

diode (LED). Structurally, an active medium of a narrower band-gap is sandwiched be-

1

Page 15: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 1. Introduction 2

tween a pair of semiconductor claddings with wider band-gap material. Coherent light

is generated through radiative recombination of electron-hole pair. During a radiative

recombination, photon is released with an energy equal to the bandgap energy of the

active medium. Its wavelength, λ, satisfies the law of energy conservation given by

Eg = hν = hc/λ. There are two kinds of radiative recombination, spontaneous and stim-

ulated emission. For spontaneous emission, photons are emitted in random direction.

Conversely, for stimulated emission, the emitted photons are initiated by an incoming

photon and the emitted photon will match the original photon in both wavelength and

phase. Therefore, it is this unique property of stimulated emission which renders the gen-

erated light to be coherent and desired for laser operations. Aside from the gain medium,

optical feedback is also required (regardless of the laser type) in order to achieve lasing.

For diode lasers, optical feedback can be conveniently accomplished by leveraging the

cleaved facets to form Fabry-Perot cavity mirrors.

Since the lasing wavelength is determined by the bandgap energy of the laser, different

wavelength spectrum can be achieved by using various direct band-gap material such as

AlGaAs, InP, InAs, GaAsP, GaInAs and InPAs. Whereas GaAs lasers typically operate

in the vicinity of 0.8-0.9 µm, quaternary alloy of InGaAsP-InP can be utilized to lase

in the range of 1.1-1.6 µm [17][18][19][20][21]. This particular range of wavelength is

of great interest due to their applications in optical fiber communications where fibers

exhibit low loss and low dispersion at 1.3 µm [22] and 1.5 µm [23].

1.1.1 Advantages of Semiconductor Lasers

There are several unique advantages to semiconductor lasers that make them favorable

for widespread implementations [1][24][16]. First, it has low fabrication cost as it lever-

ages mature integrated circuits (IC) semiconductor manufacturing to achieve high-yield

and high-throughput production. Second, by leveraging the natural Fabry-Perot cav-

ity formed from the cleaved facets as the optical feedback mechanism, it eliminates time

Page 16: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 1. Introduction 3

Figure 1.1: Wavelength operation range for various material composition of semiconduc-tor lasers, with permission to reproduce from [1].

consuming and fragile mirror alignments and also reduces footprint to achieve super com-

pact design. Third, it can attain different laser wavelengths operation simply by varying

the semiconductor compound compositions or changing the semiconductor material of

the active layer. Wavelengths ranging from visible to near-infrared (near-IR) could be

achieved at room temperature while mid-IR is possible at lower temperatures, as illus-

trated in Figure 1.1. Finally, and perhaps one of the most important advantage, is the

proven potential for monolithic integration either as a stand alone photonic integrated

circuit (PIC) or hybrid with IC chip has made it very favorable over other types of lasers.

1.1.2 Applications of Semiconductor Lasers

Diode lasers have diverse applications ranging from consumer products to scientific re-

searches and have profound impacts on our daily life. As mentioned in previous section,

semiconductor lasers are capable of operating at room temperature across a broad range

of wavelengths. This versatility has allowed it to find its way into mainstream consumer

lives in a wide variety of practical applications. For example, laser barcode scanners [25]

utilize red diode lasers in the visible spectrum around 650nm. Laser pointers are also

Page 17: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 1. Introduction 4

very common laser products with choices of green or red wavelengths. Optical storage

also utilizes semiconductor lasers exclusively as light source to read/write inside an op-

tical disk drive, with wavelengths ranging from 785 nm (near-IR) for CD-ROM, to 660

nm (red) for DVD drive, then now 405 nm (violet) in Blu-ray technology [26][27]. The

applications of interest for this thesis however, would be more in the near- to mid-IR

spectrum.

Wavelength Converter in Optical Network

A particular application of interest in the near-IR spectrum lies in the field of optical com-

munication. Compared to its electrical counterpart, optical fiber networks exhibits lower

loss, no cross-talk, and improved bandwidth [28]. Early development efforts focused on

developing diode lasers operating around 1.55 µm [23] where it exhibits minimum total

optical fiber loss, as evident in Figure 1.2. Subsequent developments in single frequency

semiconductor lasers such as distributed feedback laser (DFB) [29], combined with optical

amplifier such as Erbium-doped fiber amplifier (EDFA) [30], and dense wavelength divi-

sion multiplexing (DWDM) [31] led to breakthroughs in optical-fiber telecommunication

and enabled modern age high speed and high bandwidth internet.

DWDM is particularly important as it can increase the bandwidths using existing

optical-fiber networks by combining multiple channels (signals of different wavelengths)

simultaneously into a single optical fiber. An essential component of DWDM is the

wavelength-routed network [32], which utilizes wavelength conversion to facilitate trans-

mission of different wavelength signals. Optical frequency conversion is conventionally

achieved through the use of electro-optic converters at the end of optical networks. How-

ever, switching back and forth between optical and electrical domain is not only costly,

but also slower than all-optical network. Alternatively, wavelength conversion can also be

accomplished through optical nonlinear frequency mixing such as the four-wave mixing

χ3 [33] and three-wave mixing in χ2 [34]. χ2 process has the slight advantage such that

Page 18: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 1. Introduction 5

Figure 1.2: Measured total fiber loss as a function of wavelength, with permission toreproduce from [1].

there is no potential crosstalk in the generated output idlers. Therefore, χ2 is an effective

technique towards meeting the requirement of an all-optical network DWDM.

Trace Gas Sensor

On the other hand, lasers operating in mid-IR spectrum are extremely useful for gas

sensing applications such as pollution monitoring and industrial process control, since the

majority of gas molecules of interest occupy in well characterized absorption bands of this

region, particularly in the 3 µm-5 µm and 8 µm-12 µm windows [35][36][37]. Therefore,

mid-IR lasers could be effectively utilized to detect and identify gas composition and

concentration. A simulation of the vibrationalrotational (V-T) molecular absorption

spectra of various gas molecules from 3 µm to 12 µm is shown in Figure 1.3. Both

quantum cascade lasers (QCLs) and interband cascade lasers (ICLs) have recently been

utilized for this purpose [37] and even achieved a detection limit of parts-per-trillion (ppt)

[38]. However, such system is only suitable for stationary application due to the tabletop

setup requirement. As such, portability is still a challenge that has yet been addressed

for this application. A monolithically integrated laser system would then benefit greatly

Page 19: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 1. Introduction 6

Figure 1.3: Simulated mid-IR absorption spectra from 3 to 12 µm [2].

to capture such potential for highly efficient, sensitive and portable gas tracing.

1.2 Brief History of Semiconductor Lasers

The very first demonstrations of semiconductor laser were published by several groups

working independently in 1962 [11][13][14]. The papers described a simple homostructure

laser comprised of same GaAs material and forward biased p-n junction. The very next

year, heterostructure laser was proposed [39], where a semiconductor material of a higher

refractive index (and lower band gap) was confined between a different semiconductor

material cladding with a lower refractive index (and higher band gap). The active layer

(central semiconductor material) provided the optical gain. The difference in bandgap

level helped to confine electrons and holes to the active layer, which helped to facilitate

recombination. In addition, the refractive index difference helped to confine optical mode

close to active layer and significantly reduced internal loss. However, precise lattice

matching was required to realize such design. As a result, room temperature operation

Page 20: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 1. Introduction 7

Figure 1.4: Evolution of threshold current density of semiconductor lasers [47] ©IEEE2000.

was not successfully demonstrated until 1969 [40] with the breakthrough of liquid phase

epitaxy (LPE) deposition [41].

Due to excessive overheat, diode lasers operated in pulse mode prior to 1970. Then

finally, Alferov et al. [42]. and Hayashi et al. [43] demonstrated the first room tempera-

ture (300 K), continuous-wave (CW) operation. Since then, interests and developments

in semiconductor lasers exploded at an incredible pace. The threshold current den-

sity was reduced dramatically by two orders of magnitude in merely a few years, from

Jth ∼= 4kA/cm2 in 1969 [42] to Jth ∼= 1.6kA/cm2 in 1970 [43] and Jth ∼= 0.5kA/cm2

in 1975 [44]. The vast improvements were attributed to the breakthrough in thin-film

deposition technologies such as molecular beam epitaxy (MBE) and metal-organic chem-

ical vapor deposition (MOCVD), which had enabled uniform, high quality and precise

lattice matching of ultra thin films deposition less than 100 nm. More recently, with

the introduction of quantum well and quantum dot lasers, threshold current density of

160A/cm2 [45] and 19A/cm2 [46] have been achieved, respectively. A trend outlining the

evolution of threshold current density is shown in Figure 1.4.

In terms of the output power of diode lasers, typical GaAs laser generated less than

Page 21: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 1. Introduction 8

50 mW around the 1980s [48][49]. But Scifres et al. [50] was able to significantly improve

the CW output power to 585 mW and over 20% power conversion efficiency by using

array of phase-locked lasers. The CW output power was then further increased to 1 W

by using single quantum well (∼60A) with coatings applied on the cleaved mirror facets

[51]. In the early 1990s, Sakamoto et al. proposed the monolithic AlGaAs laser diode

arrays and demonstrated drastic improvements in output power starting from 3 W [52],

to 20 W [53] and even up to 120 W [54] over the course of next few years.

A comprehensive review of the subsequent vast developments in semiconductor lasers

would not be practical and is beyond the scope of this thesis. Rather, a brief discussion

of a few types of semiconductor lasers that are most relevant to this thesis will be covered

in next section instead.

1.3 Types of Semiconductor Lasers

Since the intention of this thesis is to fabrication high power Bragg reflection laser (BRL),

the types of semiconductor lasers that will be discussed in this section will be closely

related to the intent and/or similarity to our design. Structurally, vertical-cavity surface-

emitting laser (VCSEL) bares close resemblance to our design and also utilizes Bragg

reflection for mode confinement. Next, distributed feedback (DFB) lasers are commonly

utilized to achieve single frequency and single mode output. Finally, ring lasers are of

interest due to the potential of high intra-cavity power.

1.3.1 Vertical-cavity Surface-emitting Lasers

Vertical-cavity surface-emitting laser (VCSEL) differs from conventional diode lasers in

a sense that light is emitted perpendicular, rather than parallel, to the substrate surface.

Hence the name surface-emitting. VCSEL bares close resemblance to our design where

the claddings consist of alternating refractive index materials to form Bragg mirrors

Page 22: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 1. Introduction 9

and resonates through Bragg reflections. VCSEL was first demonstrated in 1979, which

operated at 1.18 mum and had a threshold current density, Jth, of 11kA/cm2 [55]. Then

in 1989, room temperature, continuous-wave (CW) and single mode VCSEL with single

mode suppression ratio (SMSR) of 35 dB was demonstrated [56]. More recently, 850 nm

VCSEL achieved a Jth of 0.5kA/cm2 [57]. In terms of output power, typical VCSEL

emits in the low mW range. However, extremely powerful VCSEL can be accomplished

in the formation of arrays, where more than 230W was demonstrated [58]. Tuning range

in excess of 100nm at 1.55 mum was also shown by Gierl et al. [59]. VCSEL design also

has tremendous fabrication process advantages over conventional edge-emitting lasers.

Firstly, simplified fabrication process including the removal of cleaving requirements.

Secondly, owing to the bottom up nature of thin film deposition process, it would be

much easier to fabricate laser arrays using VCSEL design [60]. Lastly, VCSEL improves

manufacturability and testability [61]. Whereas conventional FP lasers cannot be tested

until lasers are fully fabricated, VCSELs enable inline testing prior to the full completion

of laser. Thereby salvaging materials, labor and tool time to reduce manufacturing cost

should any defects be detected. Therefore, despite the fact VCSEL being more labor

intensive and expensive in materials, but the increase in yield has made it more favorable

for the manufacturers.

1.3.2 Distributed Feedback Lasers

Conventional diode laser exhibits multi-mode output because the feedbacks from facet

reflections have the same magnitude for all longitudinal modes. Thus, despite the longitu-

dinal mode closest to gain peak have higher intensity, discrimination against a particular

mode is poor due to other side modes being present near the gain peak. As a result,

the emitted light becomes broadband. One of the solution to improve mode selectiv-

ity is through frequency dependent feedback such as distributed feedback (DFB) laser

[62]. The feedback mechanism of DFB laser, as the name might imply, is not depen-

Page 23: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 1. Introduction 10

dent on the cleaved facets anymore but rather based on periodic diffraction gratings

etched into the upper cladding [63]. Bragg scattering induced by the alternating re-

fractive indices provides feedback by coupling the forward and backward propagating

waves. Only wavelengths that satisfy the Bragg condition, given by Λ = mλm/2 where

λm is the wavelength inside the medium and m is the mode order, will achieve coherent

coupling between counter-propagating waves. Thereby reflecting only narrow bands of

wavelengths to achieve single frequency lasing. As such, by choosing the appropriate

Bragg diffraction gratings period, specific feedback wavelength can be selected and un-

wanted side modes are filtered out. For comparison, the spectral width of conventional

diode laser at full width at half maximum (FWHM) is typically ≥ 20A, whereas DFB

lasers can achieve around 1 A at FWHM with around 1W CW power [64][65]. DFB

lasers have also demonstrated wavelength tuning capabilities. Since the temperature has

an effect on the refractive index, then one can manipulate the periodicity by varying the

temperature and in turn change the lasing wavelength to achieve a tunable diode laser

(TDL). Wavelength tunability in DFB laser is extremely useful in applications such as

wavelength division multiplexing (WDM) [66], laser spectroscopy [67], and gas sensing

[68]. 4 nm tuning range with output power of 20 mW has been demonstrated [69]. Fur-

thermore, tunable DFB laser array (TLA) can be utilized to achieve 40nm tuning range

with output power over 20mW [70][71]. Widely tunable DFB laser in the mid-IR range

(∼3.1 µm)with over 80nm tuning range is also possible [72]. DFB lasers can also achieve

high conversion efficiency, where 53% wall plug efficiency has been demonstrated [73].

1.3.3 Ring Laser

Semiconductor ring lasers (SRLs) have garnered increasing interest since its inception [74]

as a contender for single mode laser. The basic structure of a SRL consists of a circular

resonator coupled to a output waveguide. Aside from circular ring, other geometries such

as racetrack [75], triangular [76] and square [77] have since been proposed. In addition

Page 24: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 1. Introduction 11

to geometries, various coupling methods like Y-junctions [78], multi-mode interference

(MMI) coupler [79] and evanescent coupler [80] have also been demonstrated. The major

benefit of such setup is the elimination of cleaved facets for optical feedback and gratings

for single mode operation, thus making them ideal candidate for monolithic integration

with other passive photonic devices. Due to its uni-directional bistability [80], SRL can

produce bi-directional outputs with a straight output coupler. However, asymmetric

feedback from external facets can suppress the unwanted mode to achieve stable uni-

directional lasing [81]. Some critical parameters for SRL include ring radius and etch

depth, both of which can significantly impact laser performance. In terms of ring radius,

a larger radius will have lower bend loss, but the longer cavity length can result in higher

total loss. On the other hand, shallower etch depth can increase bending loss but a

deeper etch depth may increase scattering loss due to sidewall roughness [80].

1.4 Motivation

Semiconductor lasers have shown tremendous promises as a coherent light source in

photonic integrated circuits thanks to its unique advantages. But one of the drawback

as shown in previous section lies in its narrow wavelength tuning range. Alternatively,

a widely tunable, monolithically integrated laser source could be accomplished through

the utilization of nonlinear effects. Recently, our group had catered the Bragg reflection

waveguide (BRW) platform to serve such needs. Intra-cavity frequency conversion is

now possible by leveraging the χ2 nonlinear property of AlGaAs. Both the nonlinear

processes [82][83][84] and laser characteristics [85] have been demonstrated separately;

however, phase-matching between the Bragg and TIR mode proved more challenging

than expected and remains to be demonstrated even after multiple iterations of design

optimization. Furthermore, there remained fabrication challenges such as precise AlGaAs

etch depth control and rough sidewalls that needed to be tackled to enhance device

Page 25: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 1. Introduction 12

performances. The main focus of this thesis will tackle the latter challenge from the

fabrication perspective.

Thanks to the hard work of previous group members, an existing process flow is avail-

able within our group. However, fabrication complications such as evolving capabilities

of Toronto Nanofabrication Center (TNFC), ongoing effort to improve laser fabrication

quality, and the challenges in fabrication of DFB lasers motivated the work behind this

thesis.

TNFC was originally established around 20 years ago as Nortel Institute for Telecom-

munications. It had come a long way since then and significantly expanded in terms

of both capabilities and cleanroom spaces. An example of the most recent addition to

the facility includes an Angstrom NEXDEP evaporator, which is capable of both e-beam

and thermal evaporated deposition. This allowed us to develop an inhouse metal contact

deposition recipe rather than outsourcing it to Sherbrook University as we had done be-

fore, which was both time consuming and costly. In addition, a new Oxford Cobra RIE

tool was installed since February of this year. However, at the same time with new tool

installations, some older tools inevitably suffer from machine failures, resulting in down

times and even decommissions. Due to these complications, several fabrication process

recipes had to be re-developed based on current TNFC offerings.

In addition, there was also an ongoing effort to continuously improve the laser fabri-

cation quality. Opportunities for improvement are evident from Figure 1.5, which is an

SEM cross-section of previously fabricated laser sample where the sidewall profile was

quite rough. Thus, inducing nonideal scattering loss and reducing output power.

Lastly, DFB and ring lasers had been attempted in order to increase the laser power

output. But unfortunately, previous attempts were not very successful due to fabrication

complications. For DFB laser, the design of laterally corrugated surface gratings ren-

dered AlGaAs etching very difficult due to the small surface area opening between the

corrugated surface. Therefore, the original AlGaAs etching recipe that was developed

Page 26: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 1. Introduction 13

Figure 1.5: (a) Cross-section SEM, and (b) Sidewall SEM, of BRL, with permission toreproduce from [3].

for straight FP laser was inadequate and resulted in differential etching. This problem is

illustrated in Figure 1.6 (a), where the bottom 30% of the laser is tapered. Such nonide-

alinity resulted in the multi-moded output as shown in Figure 1.7. On the other hand,

ring laser suffered from severe device damages as shown in Figure 1.6 (b).

In summary, complications in the currently established fabrication process lends itself

to the goal of this thesis, which is to develop and optimize a set of robust laser fabrication

process using available in-house facilities to demonstrate electrically injected, CW, and

room temperature BRL.

1.5 Thesis Overview

The main focus of this thesis will be on the fabrication of AlGaAs based BRL and

DFB lasers, with an ultimate goal to produce a self-pumped, on-chip source suitable

for integrated photonic applications. The lasers will make use of nonlinear property

such as second harmonic generation (SHG) to generate 1.55 µm output suitable for

telecommunication application, that is otherwise not possible with AlGaAs based design.

This thesis is divided into the following sections: Chapter 2 will be split into two parts:

device and fabrication. Background relating to BRW and BRL will first be covered, then

knowledge regarding common fabrication techniques will be introduced. Chapter 3 will

Page 27: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 1. Introduction 14

(a)

(b)

Figure 1.6: (a) SEM of the latest attempt on fabricating DFB laser. Due to differentialetching from the small surface opening, current recipe for AlGaAs RIE is insufficient toproduce a straight etch profile. (b) Poor quality of fabricated ring laser due to bufferedoxide etch (BOE) attacking the oxide used during planarization, resulting in severe cracksand damages. With permission to reproduce from [4].

Figure 1.7: Output spectra of the previously fabricated DFB laser showing the undesiredmulti-mode characteristic, with permission to reproduce from [4].

Page 28: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 1. Introduction 15

then entail the fabrication process developments in the area of electron beam (e-beam)

lithography, dry etch and metal contact evaporations in order to successfully fabricate

the desired laser devices. Chapter 4 will focus on the characterization of FP diode lasers

in terms of its electrical, optical and thermal performances. Finally, summary and future

directions will be discussed in Chapter 5.

Page 29: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 2

Background

Bragg reflection waveguide (BRW) [86] provides a promising platform for monolithic in-

tegration of nonlinear processes with active laser by utilizing the χ2 nonlinear property

of AlGaAs. Benefits such as wide wavelength tunability, portability and low power con-

sumption makes Bragg reflection laser (BRL) an ideal candidate as portable trace gas

sensor in laser spectroscopy or as frequency converter for DWDM in optical communi-

cation. This chapter will first half discuss backgrounds related to BRW, its use as diode

laser and current performances, follow by introduction of the major techniques utilized

during our semiconductor laser fabrications.

2.1 Bragg Reflection Waveguide Platform

2.1.1 Bragg Reflection Waveguide

BRW consists of an active core layer sandwiched between claddings that are comprised of

transverse Bragg reflectors (TBR). TBRs are alternative layers of AlxGax−1As grown by

metal organic chemical vapor deposition (MOCVD) with different Al concentration, x.

Due to the Bragg stacks in the claddings, BRW looks very similar to VCSEL structurally.

However, BRW is not surface-emitting like VCSEL, but rather pertains the edge-emitting

16

Page 30: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 2. Background 17

Figure 2.1: Schematic diagram of BRW showing the orthogonal propagation direction toTBR.

nature of conventional diode lasers. Distributed reflections from the Bragg mirrors confine

the light propagation within the core layer and travels in the orthogonal direction to the

Bragg stack. Such propagation is called Bragg mode and differs from conventional total

internal reflection (TIR). A typical BRW structure is illustrated in Figure 2.1 [86].

BRW is essentially an one-dimensional (1D) photonic crystal where the dispersion be-

havior, hence mode profile, can be tailored through the design of TBR. A set of matching

layers could also be added at the interfaces between core and TBR to relax the constraint

on the core layer thickness. Thereby, providing additional freedom in terms of dispersion

and nonlinear properties tailoring. In addition, the thicknesses of TBR layers can be de-

signed precisely a quarter wavelength of the desired guided mode. This is known as the

quarter-wave BRW (QtW-BRW) condition, and is a special case where the optical con-

finement in the core is maximized by placing the guided mode in the center of stop band

through the constraints imposed by the quarter wavelength condition. The thickness of

the TBR can then be calculated by [87]

Page 31: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 2. Background 18

Figure 2.2: χ2 three-wave mixing.

dh(l)

√n2h(l) − n2

eff = λ/4 (2.1)

where d and n are the thickness and refractive index, respectively. λ is the wavelength

of the Bragg mode and the effective refractive index, neff , of the guided mode is given

by [88]

neff =√n2c − [(2m− 1)λ/2dc]2, (m = 1, 2, 3...) (2.2)

where m is the mode order. The key property which enables BRW for frequency conver-

sion is through three-wave mixing of second-order nonlinearity process, χ2, as illustrated

in Figure 2.2. We are particularly interested in the difference frequency generation (DFG)

process as it enables applications such as wavelength conversion and wide wavelength tun-

ing. In DFG process, two light sources, namely pump and signal, are injected into the

nonlinear medium and an output idler is generated. The frequency of the generated idler

will be the difference between signal and pump according to the governing interaction.

The design utilized for this thesis is a type-II DFG where the 775nm pump propagates in

Bragg mode while the 1550nm signal and idler are in TE TIR mode and TM TIR mode,

respectively.

Nonlinear process must satisfy the energy conservation and phase matching condition

Page 32: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 2. Background 19

in order to facilitate effective interactions. For energy conservation:

Ep = Es + Ei (2.3)

~ωp = ~ωs + ~ωi (2.4)

ωp = ωs + ωi (2.5)

where Ep,s,i and ωp,s,i are the energy and frequency of pump, signal and idler, respectively.

As for phase matching condition:

kp = ks + ki (2.6)

where kp,s,i are the propagation constants of pump, signal and idler. Several phase

matching techniques are available for phase matching III-V compounds, but not all are

suitable. For example, in conventional birefringence phase matching (BPM) scheme, one

can inject light with different polarizations to achieve phase matching. This is because

anisotropic material experiences change in refractive index that depends on the polar-

ization and propagation direction of light. Which in turn creates a difference between

ordinary and extraodinary refractive index. However, due to the isotropic nature of Al-

GaAs, conventional BPM is not possible, but rather form birefringence phase matching

has to be utilized, which requires breaking the core AlGaAs active layer with additional

Al2O3 layers [89]. Unfortunately, the inclusion of such oxide layer is not compatible in

achieving our goal of electrically injected nonlinear laser.

An alternative scheme which can provide the necessary phase matching is quasi-phase

matching (QPM) [90]. QPM is not a complete phase matching method, but rather allows

some phase mismatch over small propagation distance and then reverse it. This is accom-

Page 33: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 2. Background 20

plished by periodically modulating the nonlinear susceptibility. However, even though

high nonlinear conversion could be achieved, large losses and fabrication complexity limits

its application in III-V system.

Finally, modal phase matching (MPM) [91] can achieve phase matching by utilizing

higher order mode which has lower refractive index. Such scheme could be exploited to

our advantage since Bragg mode has lower effective refractive index than its fundamental

TIR mode counterpart. Therefore, through careful design considerations, our group pro-

posed the exact MPM of the Bragg mode with TIR mode to achieve efficient nonlinear

conversion [86]. Subsequently, nonlinear frequency conversion applications such as sum

frequency generation (SFG) [83], second harmonic generation (SHG) [82] and difference

frequency generation (DFG) [84] have been successfully demonstrated. Parametric pro-

cess, such as DFG, can be utilized to generate coherent light in mid-IR regimes [92].

Several advantages of BRW include large modal volumes [93][94], high gain coefficient

[95] and strong mode discrimination [96][97]. Not to mention the possibility of monolithic

integration with diode laser for unique and interesting applications such as electrically

injected, self-pump DFG laser in near-IR and mid-IR spectrum.

2.1.2 Bragg Reflection Lasers

BRL is essentially an electrically injected BRW light source, but with quantum wells

inserted inside the core to provide gain for the laser. Both the double-sided [85], and

single-sided [98] arrangements have been proposed. Aside from all the aforementioned

advantages of BRW from last section, BRL also has a much more relaxed constraint

for core thickness without comprising the strong modal gain and single mode operation

compared to conventional QW laser. For conventional QW lasers, optical confinement

factor (OCF) decreases with increasing core thickness, thereby reducing modal gain.

However, this is not an issue for BRL, thereby loosening the constraint on the core

thickness.

Page 34: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 2. Background 21

Figure 2.3: Schematic illustration of a typical BRL.

A schematic of BRL is illustrated in Figure 2.3. With a very similar structure to

BRW, BRL consists of a low index core with refractive index nc and thickness dc. TBRs

are comprised of alternating concentration of AlxGax−1As with different refractive indices

nl and nh and thicknesses dl and dh, respectively. The lowest refractive index material

in the entire system is the core, where nc < nl < nh, to ensure operation in Bragg mode.

The output power of the diode laser at injected current I, Pout(I), is given by [3]:

Pout(I) = (I − Ith) · ηd ·hc

λp · e(2.7)

where Ith is the threshold current and ηd is the differential efficiency, or external quantum

efficiency. ηd is related to the internal quantum efficiency, ηi, by

ηd = ηi ·αm

gth(2.8)

where αm is the mirror loss and gth is the threshold gain. Since I = J·L·W, Eq. (2.7) can

be further modified to

Pout(J) =LW · ηiλp

(J − Jth) · hce· αm

gth(2.9)

Page 35: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 2. Background 22

Figure 2.4: CW L-I-V curve of a BRL with temperature sweep from 10C to 100C [87]©IEEE 2010.

finally, gain equals loss at threshold, so gth=αpL+αm, where αp is the propagation loss.

So Eq. (2.9) becomes:

Pout(J) =LW · ηiλp

(J − Jth) · hce· αm

αm + αpL(2.10)

Temperature dependency had also been characterized and is shown in Figure 2.4. As

can be seen, increasing temperature results in lower output power and higher threshold

current.

As early as 2009, our group had demonstrated proof of concept on the feasibility of

BRL. Figure 2.5 (a) shows the LI performance where current threshold density as low

as 157A/cm2 was achieved. In addition, Bragg mode operation was verified through

near-field profile as shown in Figure 2.5 (b). However, despite the low threshold current

density achieved for this type of laser, phase matching between Bragg and TIR modes

proved quite challenging and was unsuccessful. More recently, parametric fluorescence

[99] and self-pumped SPDC [100] have been reported. However, the reported laser in

[100] not only suffered high threshold current density of 3.3kA/cm2 but only pulse mode

operation was demonstrated.

Page 36: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 2. Background 23

(a) (b)

Figure 2.5: (a) LI curve for BRL at 500 mum (solid line), 580 mum (dashed red line)and 970 mum (dashed blue line) cavity lengths. (b) Simulated vs. measured (just abovethreshold and 50x threshold) near-field (NF) profile of the Bragg mode. With permissionto reproduce from [3].

As a result, nonlinear properties in BRL was only demonstrated recently as shown

by the generated idler in Figure 2.6 (a). Yet, there was still discrepancy between de-

sign simulation and actual device performance. For instance, in order to achieve phase

matching, external optical pump had to be used instead of the desired self-pump de-

sign. Figure 2.6 (b) illustrates the generated idler power against external optical pump

wavelength. External pump had to be used because electrically injected BRL pump was

lasing at around 790nm as shown in Figure 2.6 (c) instead. Furthermore, phase matching

degeneracy point of the actual vs. simulated performance also differed by quite a bit.

According to simulation from Figure 2.6 (d), the degeneracy point was designed to be

775nm such that an idler at 1550nm would be generated. However, the degeneracy point

based on measured DFG tuning curve shown in Figure 2.6 (d) can be extrapolated to be

around 825nm instead. This was mainly attributed to uncertainty and lack of accurate

modeling in the indium and aluminum concentrations in the quantum wells. A new de-

sign had been submitted based on this most recent learning and a new wafer stack had

been grown in hopes to successfully demonstrate truly electrically injected, self-pumped,

and continuous-wave DFG laser.

In addition to design optimization, robust and high quality fabrication process is

Page 37: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 2. Background 24

(a) (b)

(c) (d)

(e)

Figure 2.6: (a) Demonstrated DFG using BRL with the generated idler peak at 1725nm. (b) External optical pump at 816.5 nm. (c) Normalized optical spectrum of BRLwith 40mA (black solid line) and 100mA (dashed blue line) current injection. (d) Sim-ulated DFG tuning curve of the designed BRL. (e) Measured DFG tuning curve. Withpermission to reproduce from [4].

Page 38: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 2. Background 25

crucial to achieving high power BRL. This is clearly evident from the simulation shown

in Figure 2.7, where the Bragg mode loss modulates according to etch depth. Mode loss

increase drastically with a sharp slope outiside the 200 nm process window between 2

µm to 2.2 µm. Since our design does not include any etch stop layer, ensuring precise

control of AlGaAs etching within low loss window is crucial to the laser performance.

Figure 2.7: Bragg mode loss varies with AlGaAs etch depth, with permission to reproducefrom [4].

Figure 2.8: Output spectra of FP laser as current increases from 85mA to 140mA [101]©IEEE 2011.

Furthermore, a problem typically associated with any FP laser is the degradation

Page 39: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 2. Background 26

of single mode operation with increasing current, as shown in Figure 2.8. As can be

observed, BRL becomes increasingly multi-moded as current is increased beyond 85mA.

Such phenomenon then limits the maximum current injection, which results in weaker

laser. Hence the usefulness of single mode DFB lasers.

2.2 Fabrication Techniques

2.2.1 E-beam Lithography

Lithography is essentially a method of printing on a flat surface. It was originally used

to pattern on limestone hundreds of years ago, but more recently it had been widely

adopted for the semiconductor industry. An obvious difference between the two appli-

cations is the feature dimensions, where micro- or nano-scales are required in modern

semiconductor manufacturing. Several lithography techniques such as photolithography

[102], nanoimprint [103], electron beam (e-beam) lithography [104], just to name a few,

are capable of patterning with such precision. E-beam lithography is of particular in-

terest not only because of its superior resolution, but also for its direct-write capability.

By scanning and guiding a highly focused electron beam, it can create arbitrary pattern

without the need of a mask. However, due to its nature of scanning exposure rather

than flood exposure (as in photolithography), it suffers from the issue of low throughput.

Nevertheless, it’s a great technique for prototyping and research environment where flex-

ibility and high resolutions are favored over speed. Some key considerations of e-beam

lithography include choice of resist and the associated developer solutions, electron beam

energy, current and dose, and also development time and temperature.

E-beam lithography consists of steps shown in Figure 2.9. First, an electron-sensitive

polymer layer (e-beam resist) is spin coated onto the substrate. Things to take into

consideration during spin coating of resist include spin speed (in rotations per minute,

RPM), spin time and acceleration. Higher spin speed results in thinner resist whereas

Page 40: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 2. Background 27

Figure 2.9: Steps of e-beam lithography.

lower spin speed results in thicker resist. Subsequently, the resist is exposed and then

developed.

During exposure, an electron beam, generated by thermal field emission gun inside

an electron column, is scanned across the resist. It is focused and guided by a series of

electromagnetic lenses and electrostatic deflector within the column as shown in Figure

2.10. Writing of the patterns is accomplished by essentially changing the solubility of

the exposed resist. Depending on the type of resists (positive or negative), the physico-

chemical changes resulting from the inelastic collisions between electrons and resist will

either soften or harden the resist. For positive e-beam resist, the scission of long poly-

mer chains breaks it into smaller fragments, making the exposed area more soluble in

developer solution [105]. Inversely, for negative e-beam resist, cross-linking of smaller

polymers when exposed makes it less soluble in developer solvent [106]. As electrons

enter the resist, forward scattering from low energy elastic collisions could broaden the

beam slightly. More importantly, proximity effect [107][108] caused by backward scat-

tering from large angle collisions of electrons that penetrated deeply into the substrate

could result in over-exposure and pattern distortion. However, this can be minimized by

applying proximity effect correction (PEC), which is an algorithm correction to account

for such undesired effect.

After exposure, the resist is developed by immersing in a developer solvent to remove

the exposed portion of positive resist, or non-exposed portion of negative resist. The

developer essentially penetrates and surrounds resist fragments to form a gel-like layer

Page 41: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 2. Background 28

Figure 2.10: Electron-optical control system inside an e-beam lithography column [5].

around it. The fragments then detach from the rest of resist once fully surrounded and

diffuse into the solvent. As such, the longer the development time, the more fragments

will be removed. Excessively long development could then result in smaller dimensions

than desired. Aside from time, temperature during development is also an important

factor for consideration. Colder temperature could limit the development of those resist

partially exposed by scattered electrons, since they would not have enough energy and

would be frozen instead. Therefore, resolution could be enhanced for positive tone resists.

Table 2.1 summarizes the important parameters of e-beam lithography and its impacts

on process [109].

Some commonly available positive tone e-beam resists include polymethyl methacry-

late (PMMA) [105] and ZEP [110]. On the other hand, hydrogen silsesquioxane (HSQ)

[106] and ma-N [111] are some of the most popular negative tone resists. The resists

utilized in this thesis are ZEP-520A and a bi-layer MMA/PMMA. ZEP-520A is chosen

to define the ridges and opeing of via for its high resolution and dry etch resistance.

Meanwhile MMA/PMMA is chosen to create the under-cut profile desired for lift-off to

Page 42: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 2. Background 29

Parameter Process Impact

Exposure energy Resolution, sensitivity, proximityExposure dose Pattern qualityPattern density Proximity, pattern qualityResist material Sensitivity, resolution, contrastResist thickness Sensitivity, resolution, pattern quality

Developer Sensitivity, resolution, development windowDevelopment temperature Sensitivity, resolution, exposure window

Development time Sensitivity, resolution, exposure window

Table 2.1: Process parameters of e-beam lithography and its effect on process.

define the metal contacts.

2.2.2 Reactive Ion Etching

Etching refers to the removal of materials from the sample surface. It can be categorized

into two distinct types, namely wet [112] and dry etching [113]. Wet etching uses chemical

solution suitable for eroding materials of interest. For example, hydrofluoric (HF) acid

is a typical solution for removing silicon dioxide (SiO2). In particular, a diluted HF

solution commonly referred to as buffered oxide etch (BOE), is used to remove native or

thin layers of oxide. The chemical reaction is given by:

SiO2 + 6HF → H2 + SiF6 + 2H2O (2.11)

The advantages of this type of etching lies in its simplicity, cheap cost and high

selectivity. However, wet etching results in an isotropic etch, whereby the etch expands

in all direction as shown in Figure 2.11 (a). Such phenomenon is not favorable where

directionality is desired. On the other hand, dry etching can be optimized to provide

anisotropic etch with a typical profile illustrated in Figure 2.11 (b). As the name implies,

dry etching does not involve wet chemical solution, but rather relies on the reaction of

etchant gases, or the physical bombardments of ions, with the substrate materials to be

removed.

Page 43: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 2. Background 30

(a) Isotropic etch. (b) Anisotropic etch.

Figure 2.11: (a) Isotropic etches in all direction, resulting in undercut. Etch rate maydiffer in horizontal and vertical direction. (b) Anisotropic etches only in vertical direction.

Dry etching can then be further categorized into physical (low pressure, high energy),

chemical (high pressure, low energy) or hybrid. Whereas physical etching utilizes highly

energetic ion bombardments, typically Ar, to physically mill away the desired material

[114], chemical etching uses chemically reactive etchant gas to react and form volatile

by-products with target materials to be removed [115]. There are trade-offs between the

two methods. While physical etching provides anisotropic etch with high directionality,

it suffers from low selectivity and significant surface damages due to its physical nature.

On the other hand, chemical etching has a higher selectivity and minimal surface damage,

but has an isotropic etch profile similar to wet etching. Then comes the hybrid method,

which strikes a fine balance between both worlds to achieve anisotropic etching with high

etch rate and selectivity, while also minimizing surface damage. Such method is called

reactive ion etching (RIE) [116].

A typical RIE chamber is shown in Figure 2.12 (a). An important component of RIE

is the plasma chamber. Plasma is essentially a neutral gas comprised of ions, free elec-

trons, radicals and other neutral species. It is generated by applying industry standard

radio frequency (RF) electromagnetic field (13.56 MHz) to the bottom electrode while

the top electrode acts as ground. Electrons react to the alternating electric field and os-

cillate between the top and bottom electrode while the heavier ions remain more or less

stationary in such a rapidly changing electric field. Collisions between electrons and the

Page 44: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 2. Background 31

(a) (b)

Figure 2.12: Schematic illustration of an (a) RIE chamber, and (b) ICP-RIE chamberfrom Oxford Instrument. Both images taken from Oxford Instrument website.

inflowing etchant gas create reactive species such as radicals and positive ions. Positive

radical ions then strike the sample substrate due to the build up of negative charges at

the base plate, or so called self-bias voltage, Vb. Chemical reactions from the diffusion

and absorption of the ionized radicals onto sample substrate surface form volatile byprod-

ucts, which is subsequently desorbed and removed from the chamber by vacuum pump.

Energetic ions bombardments, on the other hand, helps with enhancing the absorption

of radicals onto the surface and also dissociation of volatile products [117]. Increasing

the RF power results in higher energy collision and thus, higher etch rate. However,

a drawback with capacitive RIE system, such as the one just described, is the surface

damage induced by high RF power. Alternatively, plasma could be generated remotely

with inductively coupled plasma (ICP)-RIE as illustrated in Figure 2.12 (b). In this

case, an additional ICP RF power is applied to circular coil to generate a varying mag-

netic field. This then induces electric field that contains the generated plasma within the

vicinity of the coils and away from the table where samples are located. Such design can

provide separate controls for the ion flux and incident ion energies, thereby generating

higher density plasma (higher etch rate) at lower ion energies (reducing surface damage).

Page 45: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 2. Background 32

Plasma density could be as much as three orders of magnitude higher than conventional

RIE system [117]. As a result, ICP-RIE can achieve superior etch performance with high

etch rate and selectivity.

Obviously, composition of the etchant gas is an important consideration of the RIE

process since the ionized molecules have to react efficiently with the materials desired to

be etched. Thus, different compositions are required for etching of different materials. In

this work, both SiO2 and AlGaAs will be etched using ICP-RIE system. As such, more

details regarding the etching mechanisms, particularly the gas chemistry compositions,

will be discussed in following sections.

Oxide RIE

Fluorocarbon gases such as CF4, CHF3 or C4F8 are typically used for oxide RIE. Chem-

ically reactive carbon ions bond with oxygen while fluorine ions bond with silicon to

form by-products such as CO, CO2 and SiF4. In the case of CHF3, hydrogen atoms

also react with fluorine to form stable HF gas. The fluorine to carbon (F/C) ratio plays

important role in SiO2 etching, where higher F/C ratio results in higher SiO2 etch yield

[118]. However, it is known that the use of fluorocarbon gases will also introduce polymer

formation, which could be redeposited back onto the sample and chamber walls to create

problems such as contaminations and inconsistencies. To suppress polymer formation,

common additives such as O2 could be utilized as it combine with carbon ions to increase

F/C ratio. Alternatively, Ar could also be added to reduce polymer formation. Since

it’s inert, it does not change the chemistry of the plasma. But unfortunately, both will

result in an increase in resist removal rate, hence lower selectivity.

AlGaAs RIE

The most commonly used gas mixture to etch AlGaAs is chlorine-based chemistries such

as Cl2, BCl3, HCl and SiCl4 [119][117]. Chlorine ions form volatile reaction with most III-

Page 46: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 2. Background 33

V materials, including AlGaAs. Some examples of the volatile by-products formed include

GaCl3, GaCl, AlCl3 and AsCl3 [120]. Yet, pure Cl2-based plasma often faces difficulty

breaking through the thin native oxide formed by the exposed GaAs/AlGaAs surface.

This problem is exacerbated particularly for high Al concentration layers. Hence, BCl3

is often used in conjunction with Cl2 to breakthrough the thin oxide layer. Furthermore,

since BCl3 getters water vapor, it minimizes oxidation of AlGaAs to achieve equi-rate

etching of GaAs and AlGaAs [121]. Similar to oxide RIE, inert gases such as Ar are also

frequently used to enhance etch rate and desorption of volatile by-products [117]. Lastly,

non-volatile chloride layer, such as GaCl3, forms on the sidewall which minimizes lateral

etching to achieve a straight profile.

2.2.3 Thin-film Deposition

Thin-film deposition is the exact opposite process of etching. It adds layer(s) of mate-

rial(s) rather than remove them. It can also be separated into two general categories

similar to the mechanisms dsicussed in previous section, namely, chemical and physical

deposition. Chemical vapor deposition (CVD) relies on the chemical reaction between

the inflowing gas and substrate material whereas physical vapor deposition (PVD) in-

volves vaporizing a solid source (target), whereby the evaporated atoms (or molecules)

will condensate onto the sample substrate surface. There are numerous variations of

both CVD and PVD, and it would not be feasible to review all of them. As such, the

two variations that are related to this work, plasma-enchanced chemical vapor deposition

(PECVD) and electron beam evaporation, will be discussed in more details.

Plasma-enhanced Chemical Vapor Deposition

Conventional CVD requires very high temperature to thermally activate the required

chemical reaction to deposit SiO2, typically in excess over 1000. On the other hand,

PECVD uses plasma to provide the necessary energy for chemical reaction, thereby

Page 47: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 2. Background 34

(a) (b)

Figure 2.13: (a) Schematic illustration of an Oxford PECVD chamber. Image taken fromOxford Instrument website. (b) Schematic illustration of the sequence of events for CVDprocess.

significantly reducing the deposition temperature to less than 400 [122]. This is due to

the acceleration of ions towards sample substrate surface by the plasma as discussed in

previous section. A schematic illustrating the chamber of PECVD is shown in Figure 2.13

(a). To further understand the process of CVD, Figure 2.13 (b) illustrates the sequence of

chemical reactions [123]. Inflowing gas precursors are first diffused through the boundary

layer to the substrate interface and absorbed to the surface. Chemical reaction then

occurson the surface of substrate material. By products are then desorbed and diffused

back to the main flow region and eventually pumped away. Choice of precursor is thus

very important. SiO2 is of particular interest in this work, and the chemical reaction of

SiO2 is shown below [124].

SiH4 + 2N2O → SiO2 + 2N2 + 2H2 (2.12)

E-beam Evaporation

Evaporation is a type of PVD technique for thin film deposition. Materials to be de-

posited are vaporized, either through sublimation or evaporation, by heating it up to

Page 48: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 2. Background 35

Figure 2.14: Schematic of e-beam evaporator chamber, with permission to reproducefrom [125].

high temperature. Evaporated gas particles then traverse through the chamber to con-

dense on sample substrate. The system is pumped to high vacuum with pressure on

the order of 10−6∼10−7 to minimize contaminations. Furthermore, there are two types

of evaporations, using either thermal or e-beam sources. For thermal, materials to be

deposited are placed in a resistive boat and electrical current is used to heat up the boat

and whatever is inside it. The main concern with thermal evaporation is contaminations

because the boat container material will evaporate along with the source placed inside it.

Furthermore, it only works for metals and other low melt-point materials. On the other

hand, e-beam evaporation uses electron beam to heat up materials situated inside a cru-

cible. A schematic illustration of e-beam evaporation is shown in Figure 2.14. Electrons

are generated from an e-beam column underneath the crucible, then guided around in a

270 turn using magnetic field to bombard and heat up the material inside the crucible.

A rotating water-cooled hearth hosts up to a maximum of 4 crucibles, so that up to 4

different metals can be deposited sequentially. Contamination for e-beam evaporation

is much reduced compared to thermal evaporation and it can deposit both metal and

Page 49: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 2. Background 36

dielectric. As such, e-beam evaporation is chosen to deposit the necessary metal contact

stacks.

Page 50: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 3

BRL Fabrication Process

Development

Thanks to the mature microelectronics industry, fabrication processes used to make semi-

conductor lasers had been available for quite some times. Many tools and recipes can

be leveraged with modifications catered for III-V compounds. Similarly, the processes

used in this work are of typical industry practice and all fabrications were carried out

using facilities hosted by TNFC. However, extensive development efforts were required

to optimize a robust process flow for the reasons articulated earlier in this thesis. New

e-beam lithography and oxide RIE recipe were thus developed. Furthermore, a robust

e-beam evaporated metal contact deposition for GaAs laser was not available at Univer-

sity of Toronto when I began my graduate study. Therefore, one of my main task was to

establish a reliable metal evaporation recipe with high yield. These process developments

were also necessary to enable a better performance diode laser and functional ring and

DFB lasers. This chapter will first present an overview of laser fabrication process flow,

followed by the process development of each new recipe and its associated challenges. A

full step-by-step fabrication flow with recipe details is also enclosed in Appendix A.

37

Page 51: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 3. BRL Fabrication Process Development 38

Figure 3.1: Major fabrication stages of semiconductor diode laser

3.1 Laser Fabrication Process Flow

In general, laser fabrication can be broken into 3 major stages depicted in Figure 3.1.

Stage 1 essentially forms the passive device by defining the ridge, while the next 2 steps

are for making active (laser) devices. Each stage is actually comprised of many more steps

as illustrated in Figure 3.2. Due to the multiple lithography and etching steps involved,

the entire process could take up to two weeks, provided that there are no additional

complications such as tool downs.

During stage 1, an oxide thin film is deposited via PECVD, which will later be used

as hard mask for AlGaAs etching. Waveguide ridge pattern is then defined through e-

beam lithography. The pattern is then transferred to the oxide layer using a CHF3-based

oxide RIE process. Resist is then stripped and de-scummed via oxygen ashing. Finally,

a chlorine-based AlGaAs RIE (mixture of Cl2/BCl3/Ar) is utilized to define the ridge

etch depth.

Subsequently in stage 2, electrical isolation is desired to prevent unintended current

leakage path. The high quality and conformal coverage of PECVD oxide makes it a great

candidate for such a task. A via is then defined on top of the ridge through another set

of e-beam lithography and oxide RIE to allow current injection through the entire wafer

stack.

Page 52: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 3. BRL Fabrication Process Development 39

(a) Stage 1 (b) Stage 2 (c) Stage 3

Figure 3.2: Schematic illustration of detailed process flow for each stage.

Page 53: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 3. BRL Fabrication Process Development 40

Finally in stage 3, the p-contact window is defined again with e-beam lithography

using lift-off technique. P-contact metal consists of e-beam evaporated Ti/Au, where

the Ti helps with adhesion of Au. After lift-off, back-side polishing of the sample thins

the total sample thickness to around 150µm. This step is necessary to facilitate cleaving

of shorter laser bars, as from experience the minimal length of cleaving is roughly 3x

the sample thickness. N-contact, which is comprised of Au/Ge/Ni/Au, is then deposited

on the polished back side again via e-beam evaporator. Finally, the sample is ready for

characterization after cleaving into 0.5mm wide laser bars.

3.2 New Electron Beam Lithography Resists

E-beam lithography is carried out using Vistec EBPG 5000+ as shown in Figure 3.3.

It is capable of high resolution patterning down to 10nm with a stitching and overlay

accuracy of 20nm [6]. Layout to be patterned is first designed in GDSII (graphic data

system) file format using KLayout Editor. This can also be accomplished with any other

standard layout design software. It is then fractured and converted to GPF file format

using GenISys BEAMER. Specifications such as exposure fields and resolution are fed

into the EBPG system. Proximity effect correction (PEC) is also incorporated to correct

for the electron scattering effect mentioned previously.

In order to strike a fine balance between minimizing sidewall roughness and optimizing

write time, the exposure is separated into sleeve and bulk sections as illustrated in Figure

3.4. The sleeve regions are for critical patterns such as waveguide definitions. As such, a

combination of higher resolution and lower dose is used. On the other hand, bulk regions

are for non-critical patterns that are further away from the waveguides. Therefore, lower

resolution and higher dose are utilized for faster throughput.

As evident from the process flow, multiple lithography steps are required. But despite

serving the same purpose of patterning, they actually have very different requirements.

Page 54: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 3. BRL Fabrication Process Development 41

Figure 3.3: Vistec EBPG 5000+ hosted in the basement of Wallberg building of Univer-sity of Toronto [6].

(a) (b)

Figure 3.4: Bulk and sleeve layers are utilized to minimize sidewall roughness. (a) Patternis separated into bulk (red) and sleeve (green) layers. (b) An overlap of 200nm betweenbulk and sleeve is used to ensure sufficient no stitching issues.

Page 55: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 3. BRL Fabrication Process Development 42

Figure 3.5: Two VPFX-6 wetbenches with resist spinner and hot plate [6].

The resist in stage 1 and 2 needs to withhold subsequent dry etching to preserve the

integrity of protected oxide. Conversely, resist in stage 3 is meant for lift-off of the metal

deposited on top of it. Therefore, two different positive tone e-beam resists, ZEP-520A

and PMMA/MMA, are utilized to fulfill their respective roles. ZEP-520A is used in

stage 1 and 2 for its high resolution and resilience to oxide RIE. Meanwhile, a bi-layer

PMMA/MMA is used to create under-cut profile to facilitate easier lift-off process, which

is something quite difficult to achieve with conventional positive resist. In addition,

PMMA has a poor resistance to dry etching, therefore it’s not an ideal candidate for

defining the ridges. Resists are spun via spinner located inside a VPFX-6 wetbench as

shown in Figure 3.5. Process details for each type of resist will be discussed in more

details in next sections.

3.2.1 ZEP-520A

A thicker resist is desired when defining the ridge to ensure sufficient process margin

during subsequent oxide RIE. Figure 3.6 depicts the relationship between rotation speed

Page 56: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 3. BRL Fabrication Process Development 43

Figure 3.6: Figure of ZEP520A resist spin speed vs. thickness.

Spin Speed Spin Time Acceleration Pre-bake Time Pre-bake Temperature

2000 RPM 1 min 584 3 mins 180C

Table 3.1: Spinning parameters for ZEP520A

and resist thickness for ZEP-520A [126]. A rotation speed of 2000 RPM was chosen to

obtain 500nm thick resist. Sample cleaning prior to spin coating helps to improve resist

adhesion. So the sample is rinsed with acetone and IPA and followed by a quick sonic

clean for 1 minute. It is then blow dried with nitrogen gun and baked at 180C to de-

moisturize. Table 3.1 details of the critical spin coating parameters. Baking the resist at

180C evaporates any potential moisture trapped inside the resist.

An initial dose test was performed in the quest to identify optimal dose for ZEP-520A.

A range of dose was systematically swept from 120µC to 150 µC and the results are shown

Exposure Dose Beam Current Fracture Resolution

Sleeve 180 uC 1 nA 5 nmBulk 230 uC 10 nA 25 nm

Table 3.2: E-beam lithography exposure parameters for ZEP-520A

Page 57: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 3. BRL Fabrication Process Development 44

in Figure 3.7. The light green and blue strips near the sidewalls are residues from under-

exposure for doses below 140µC. In fact, even 150µC still had tiny traces of residue

near the sidewalls if examined carefully. Therefore, a 2nd dose test was performed from

160µC to 190µC and the SEM cross-sections are captured in Figure 3.8. All 4 conditions

provided adequate results with similar width, straight sidewall profile and no residue.

The final process condition of 180µC was chosen to give the process enough margins such

that there won’t be residue due to under-exposure but also won’t significantly change

the waveguide width due to over-exposure in the event of slight dose variations. Critical

process parameters are summarized in Table 3.2.

After exposure, the sample is dismounted from the sample holder and developed in a

solvent solution to complete the patterning process. Sample is developed in ZED-N50 at -

5C where the cold temperature development enhances resolution as discussed previously.

Then it is rinsed in a 9:1 MIBK:IPA solution for 30 seconds to stop the development.

Finally, post-baking the sample at 100C for 5 minutes hardens the resist, which will

improve selectivity in subsequent oxide etching.

3.2.2 PMMA/MMA

Similar protocol is developed for the bi-layer PMMA. First, a layer of MMA is spin coated

onto the sample at 5000RPM and baked at 180C for 3 minutes. Then the PMMA layer

is spin coated on top of MMA with the same condition. Because MMA actually develops

faster than PMMA, an under-cut profile can be created as shown in Figure 3.9. Critical

parameters for bi-layer PMMA spin coating and exposure are summarized in Table 3.3

and Table 3.4. It is worth noting that the required dose for PMMA at 1200 µC is much

higher compared to ZEP-520A. In addition, since the resolution requirement for lift-off

is quite lenient, separating into bulk and sleeve is not necessary, so everything is exposed

in one setting. Furthermore, a larger current is also utilized for faster throughput. After

exposure, sample is developed in 1:3 MIBK:IPA at room temperature and rinsed in IPA

Page 58: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 3. BRL Fabrication Process Development 45

(a) (b)

(c) (d)

Figure 3.7: Optical images of the dose test samples ranging from (a) 120µC, to (b) 130µC,to (c) 140µC and (d) 150µC.

Figure 3.8: Dose test from 160 µm to 190 µm.

Page 59: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 3. BRL Fabrication Process Development 46

to stop the development.

Figure 3.9: SEM cross-section of the under-cut profile of MMA/PMMA bi-layer.

Layer 1: MMASpin Speed Spin Time Acceleration Pre-bake Time Pre-bake Temperature

5000 RPM 1 min 584 3 mins 180CLayer 2: PMMA

Spin Speed Spin Time Acceleration Pre-bake Time Pre-bake Temperature

5000 RPM 1 min 584 3 mins 180C

Table 3.3: Spinning parameters for PMMA

Exposure Dose Beam Current Fracture Resolution

1200 uC 50 nA 25 nm

Table 3.4: E-beam lithography exposure parameters for PMMA/MMA

3.3 Oxide RIE Recipe Developments

Initial work on oxide etch development was carried out using the Oxford PlasmaPro

Estrelas 100 DRIE tool. A C4F8-based recipe was developed and the process details is

outlined in Table 3.5. GaAs acts as an etch stop layer since fluorine based chemistry does

Page 60: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 3. BRL Fabrication Process Development 47

ICP Power RIE Power Pressure C4F8 SF6 Chiller

1000W 25W 7 mT 110 sccm 50 sccm 5C

Table 3.5: C4F8-based oxide RIE process parameters

not form volatile products with it. Drastic improvements in terms of oxide etch quality

was immediately evident as shown in comparison between Figure 3.10 (a) and (b). SEM

cross-section in Figure 3.10 (a) shows severe resist damages and rough sidewall using

the existing oxide etch recipe available within our group. In contrast, Figure 3.10 (b)

shows the newly developed C4F8 recipe to have minimal damages and smoother sidewall

profile. However, there still remained the issue of scattered redeposition as shown in 3.10

(c), whereby the etched trenches are left with either un-etched residues or redeposition

back onto the substrate. This ultimately translates to micro-grass post AlGaAs etch. A

temporary solution was to prolong the surface cleaning using buffered oxide etch (BOE).

However, excessive BOE cleaning is not the ideal solution and optimization of the oxide

etch recipe was deemed necessary to fully resolve this issue.

The gas composition of the RIE etch was revisited in order to optimize for a residue

free recipe. SF6 was removed since it is more predominantly used for silicon etch. In

order to minimize residue, a small amount of oxygen was added to reduce the amount

of carbon available to form polymer films. In addition, the RF power was increased to

enhance etch rate. New recipe details is summarized in Table 3.6 and 3.10 (d) shows

the improvements with minimized residues. Unfortunately, this was not the final oxide

etch recipe because the Estrelas tool had been broken since February 2017. Luckily

though, a new RIE tool, Oxford PlasmaPro 100 Cobra, was commissioned shortly after

so that our work could still be continued. However, the same C4F8 recipe produced

drastically different result shown in Figure 3.11 (a). Extremely severe residues coupled

with a positive etch profile shown in Figure 3.11 (b), which is wider than the designed

waveguide, suggest significant redepositions. Amount of O2 was increased to 5sccm but

situation did not improve. As a result, alternative recipe must be developed.

Page 61: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 3. BRL Fabrication Process Development 48

(a) (b)

(c) (d)

Figure 3.10: SEM cross-section of the (a) original oxide etch recipe where significant resistdamages translated to rough sidewall, (b) newly developed C4F8 recipe where there is noresist damages and smoother sidewall is clearly evident, (c) scattered residue remainingon etched surface, (d) new C4F8 recipe with reduced residues.

(a) (b)

Figure 3.11: (a) SEM of the same C4F8 recipe on new Cobra tool which suffered severeredeposition. (b) Positive profile where the bottom width is wider than designed inlayout.

Page 62: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 3. BRL Fabrication Process Development 49

ICP Power RIE Power Pressure C4F8 O2 Chiller

1500W 50W 7 mT 45 sccm 2 sccm 5C

Table 3.6: Newly optimized gas composition of C4F8-based oxide RIE recipe details.

Exp#1 Exp#2 Exp#3

Pressure 30mT 30mT 30mTRIE Power 200W 200W 200W

CHF3 12sccm 17sccm 25sccmAr 38sccm 33sccm 25sccm

Etch Rate (SiO2) 50nm/min 54nm/min 47nm/minEtch Rate (Resist) 72nm/min 75nm/min 63nm/min

Selectivity 0.71 0.72 0.76

Table 3.7: Experiments of different CHF3-based oxide RIE recipes to examine etch rateand selectivity.

(a) (b)

Figure 3.12: SEM cross-section of a (a) low mag, and (b) high mag image of the improvedoxide RIE recipe using new CHF3/Ar recipe.

Final development work is carried out by optimizing a CHF3-based recipe instead. A

combination of CHF3/Ar is chosen based on recommendation from Oxford Instrument

and literature review [127]. Significant improvement in oxide etch quality is clearly

evident as shown in Figure 3.12. The effect of Ar to CHF3 ratio on SiO2 etch rate and

selectivity to resist were examined. Table 3.7 summarizes the experiment details. It is

worth noting that the improvement in selectivity obtained by decreasing Ar to CHF3

ratio was negligible. This was quite counter-intuitive since decreasing Ar made the etch

less physical, which should have improved selectivity. A more rigorously designed design

Page 63: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 3. BRL Fabrication Process Development 50

Metal Thickness Deposition Rate

Ti 21 nm 1 A/sAu 400 nm 2 A/s

Table 3.8: P-contact metal deposition process parameters

of experiment (DOE) may be useful in the future to further explore this phenomenon.

3.4 Metal Contacts Evaporation

Metal contact recipe development was carried out on the new Angstrom NEXDEP evap-

orator, shown in 3.13. E-beam evaporation is chosen over thermal evaporation for its

versatility and low contaminations to form high quality contacts. Two contacts, a p-

type and a n-type, are required for our process and each needs a different metal stack to

engineer the work function to form good ohmic contact with p-GaAs and n-GaAs, respec-

tively. There are many literatures reviews of making ohmic contact to GaAs [128][129].

For p-contact, Ti/Au is typically used where Au is the main conducting layer and a

thin layer of Ti is deposited first to improve adhesion between GaAs and Au. As for

n-contact, an alloy of AuGe/Ni/Au is commonly used. Quite often though, an additional

thin layer of Ni will be deposited first to improve adhesion. After annealing at around

400C, Ge diffuse into GaAs which reduces the width of depletion layer and forms a

tunneling contact. The first Ni layer also reacts with GaAs to form NiAs(Ge) whereas

the 2nd Ni layer along with the thick Au over-layer suppress the AuGe layer from balling

up to achieve smooth surface. A β-AuGa layer is then formed on the top surface. [130].

The deposition thickness and rate of Ti and Au are tabulated in Table 3.8 for p-contact

whereas the same for Ge, Ni and Au are summarized in Table 3.9 for n-contact.

Graphite crucibles are used for all metal sources as it provides good thermal isolation

between the metled sources and water cooled hearth. It is worth noting that Ni is a par-

ticularly tricky metal to evaporate, as it adheres to crucible liner walls and could easily

crack the crucible from stress induced by thermal expansion and contraction. Therefore,

Page 64: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 3. BRL Fabrication Process Development 51

Figure 3.13: Image of the new Angstrom NEXDEP evaporator.

Metal Thickness Deposition Rate

Au 45 nm 2 A/sGe 25 nm 0.2 A/sNi 30 nm 0.2 A/sAu 120 nm 2 A/s

Table 3.9: N-contact metal deposition process parameters

Page 65: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 3. BRL Fabrication Process Development 52

(a) (b)

(c) (d)

Figure 3.14: (a) Schematic of metal probing pad connection to via opening on top ofthe ridge. (b) Initial attempt where poor contact step coverage was observed due todirectionality of e-beam evaporated deposition. (c) Improved step coverage as a result ofoblique angle deposition.

temperature ramp profile is extremely important optimization parameter for Ni specifi-

cally. A safe ramp time for Ni was determined to be at least 400s after numerous cracked

crucibles while 180s was sufficient for all other metals.

3.4.1 Oblique Angle Deposition

A particular challenge with e-beam evaporation lies in its directional deposition nature,

where line of sight is required. This is due to very few collisions will occur in a high

vacuum system, 10−6 Torr pressure, such as this one; and thus, evaporated metal atoms

Page 66: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 3. BRL Fabrication Process Development 53

will reach the substrate in almost a straight line. As a result, step coverage of evaporated

deposition is very poor. This turns out to be very problematic for our process since a

conformal coverage of metal along the sidewall is required to bridge the pad and via

opening for current injection, as illustrated in Figure 3.14 (a). Initial attempt resulted

in poor sidewall coverage of the deposited Ti/Au as evident from the SEM cross-section

shown in Figure 3.14 (b), thereby rendering the probing pads disconnected and useless.

Typically, this problem could be resolved with a planetary rotational substrate holder to

enhance step coverage. Unfortunately, such holder is very expensive and not available

in our tool. Alternatively, planarization technique could be employed to alleviate the

need for depositing metals on the sidewalls. However, suitable planarization material

has to be carefully chosen since it has to be able to sustain subsequent high temperature

annealing process at 390C to alloy the metal. HSQ [4], BCB (benzocyclobutane) [131]

and polyimide [132] are all common materials for planarizing III-V semiconductor lasers.

Yet, challenges associated with planarization often include added fabrication complexity,

which typically involves spin coating the polymer layer, followed by annealing to re-flow

and solidify the layer, and then the finally, layer has to be etched back to expose the

device top surface. Since end point detection is required, a tool with in-situ etch depth

monitoring is required. Furthermore, such etch back method is prone to variations in

either device height or variation in the spin coated polymer thickness, both of which could

decrease yield [133]. Therefore, an alternative solution that does not involve substantial

increase in fabrication complexity is desired. Oblique angle deposition (OAD) [134][135]

could be a simple and elegant solution with correct optimization. Figure 3.14 (b) shows

the schematic of the concept of oblique angle deposition where the sample is tilted relative

to the crucible. As a result of the tilt angle, more materials will be deposited on the

sidewall due to increased line of sight. However, this comes at the cost of reduced

deposition rate on the flat surface, so typically a maximum of 45 is recommended. A

20 tilt was utilized and improved step coverage is evident from SEM cross-section shown

Page 67: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 3. BRL Fabrication Process Development 54

in Figure 3.14 (c) shows the improved step coverage with a 20 tilt angle.

3.4.2 Transmission Line Measurement

Low resistance ohmic contact is crucial for a high quality laser. The most common

method used to characterize contact quality is through transmission line measurement

(TLM), as originally proposed by Shockley [136]. To derive necessary understandings,

consider simple scenario of a semiconductor resistor with two metal contacts on each side

as shown in Figure 3.15. The total resistance, RT , is given by

RT = 2Rm +Rsemi + 2RC (3.1)

where Rm is the metal resistance, Rsemi is the semicondcutor resistance and RC is the

contact resistance between the metal and semiconductor. However, since the metal re-

sistance is much smaller than other resistances in question, it can be neglected. Fur-

thermore, Rsemi can be further expressed as Rsh · L/W , and so Equation 3.1 further

becomes

RT = Rsemi + 2RC = RshL

W+ 2RC (3.2)

where Rsh is the sheet resistance. From above equation, it is evident that contact resis-

tance can be estimated when L, the distance between contacts, becomes zero. Similarly,

TLM allows one to extrapolate the contact resistance from measured I-V of different

spacing rectangle pads as shown in Figure 3.16 (a). However, standard TLM with rect-

angular contacts suffers from current crowding, where the current flows are not uniformily

distributed and heavily shifted to one edge. Figure 3.16 (b) illustrates such phenomenum.

This problem can be alleviated through the use of circular TLM (CTLM), where circular

contact pads are used instead of the conventional regtangular pads [137]. I-V measure-

ments are now taken between the innir and outer circular contacts with variable gaps

Page 68: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 3. BRL Fabrication Process Development 55

Figure 3.15: Schematic of a simple semiconductor resistor with two metal contacts oneach side. The basic governing equations of TLM can be deduced from this setup.

between them. Figure 3.16 (c) and (d) illustrates the structure of CTLM and current

flows. For CTLM, the total resistance can be approximated as [137]

RT =Rsh

2πL(d+ 2LT )C (3.3)

where C is the correction factor and LT is the transfer length, which is the average

distance travelled by electron (or hole) in the semiconductor. Both C and LT can be

further written as

C =L

dln(1 +

d

L) (3.4)

LT =√ρc/Rsh (3.5)

where ρc is the specific contact resistivity in units of Ω · cm2. It can be further described

as

ρc = Rc(πL2T ) (3.6)

Page 69: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 3. BRL Fabrication Process Development 56

(a) (b)

(c) (d)

Figure 3.16: (a) Partial layout schematic of conventional TLM. (b) Illustration of currentcrowding due to layout geometry. (c) Partial layout schematic of CTLM. (d) Illustrationof current distribution, no current crowding because of symmetry.

Figure 3.17 is a plot of the total resistance and gap distances. Corrected resistances

are calculated by applying the correction factor to the raw resistances. Using a linear fit,

we can extrapolate both RC and LT . When the gap is zero, the corresponding resistance

is 2RC , whereas when the resistance is zero, the corresponding gap is 2LT . Based on the

extrapolated values from Figure 3.17, RC = 3Ω, LT = 3.5µm and the calculated ρc =

1.15x10−6Ωcm2. The measured specific contact resistivity was an order of magnitude

better than the previously reported within our group (ρc = 4.2x10−5Ωcm2). This value is

in-line with what’s typically reported in literatures for p-contact on GaAs (∼10−6Ωcm2).

3.5 AlGaAs RIE Optimization for DFB Laser

Optimization of AlGaAs RIE recipe for DFB laser was motivated by the problem encoun-

tered of previous group member shown previously in Figure 1.6 (a). Such imperfection is

due to a well known phenomenon in RIE process called micro-loading effect, where large

surface openings consume more etchant than small opening areas [138]. As a result, etch

rate is dependent on local pattern density. Narrower width opening results in shallower

Page 70: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 3. BRL Fabrication Process Development 57

Figure 3.17: Transmission line measurement plot of total resistance vs. gap distance.Linear fit is used to extrapolate RC and LT as shown.

etch depth and vice versa. For the proposed DFB structure illustrated in Figure 3.18,

one can then reasonably suspect inside the trenches in-between gratings near the ridge to

be severely impacted by loading effect due to the surrounding walls on all 3 sides. There-

fore, AlGaAs RIE recipe developed for straight waveguide was insufficient to successfully

define a straight etch profile.

The influence of various RIE process parameters such as power, pressure and various

gas composition flow rate were examined and summarized in Table 3.10. Process of

record (POR) represents the original AlGaAs RIE recipe and figure of merit (FOM) is

the ratio of the tapered footing relative to the total etch depth. A smaller ratio means

a straighter etch profile and therefore, less micro-loading effect. Figure 3.19 shows the

SEM cross-section images of the experiments tabulated in Table 3.10. No significant

changes were observed for Exp#1 and Exp#2 when either the RF and RIE power was

increased, as the ratio still measured more than 30%. For Exp#3, although the FOM

ratio was significantly improved by increasing BCl3 and Cl2 flow rate, it resulted in

Page 71: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 3. BRL Fabrication Process Development 58

Figure 3.18: Schematic of DFB design [4].

severe erosion of the gratings, as evident from Figure 3.19 (d). We suspect this to be due

to increased lateral etching from the chemical etching nature of BCL3 and Cl2. On the

other hand, increasing Ar flow rate independently, Exp#4, did not improve micro-loading

effect; but when combined with increased pressure, Exp#5, then the FOM was reduced

to 18% without damaging the gratings. This is hypothesized to the physical nature of Ar

and more abundant supply of gas flow to re-fill the consumed etchant gas from nearby

area. Thereby, resulting in a better FOM ratio. In order to take advantage of this

observation, various combination of pressure and Ar flow rate were experimented but the

improvements remained more or less the same. The best condition was determined to be

Exp#6 with a pressure of 15mT and Ar flow rate of 20sccm and had achieved a FOM

ratio of 15%. The SEM cross-section image is shown in Figure 3.19 (f).

The observed improvements by increasing gas flow rate matches with what’s available

in literature [138]. However, despite achieving a considerable reduction of FOM ratio by

slightly over 50%, micro-loading effect was not completely resolved. The current tool

utilized (Trion Minilock RIE etcher) had been servicing TNFC for more than 10 years

and has severe stability issue such as unstable reflected RIE power during operation.

Page 72: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 3. BRL Fabrication Process Development 59

(a) (b)

(c) (d)

(e) (f)

Figure 3.19: SEM cross-section image of (a) Exp#1 where the RF power was increased to250W, (b) Exp#2 where RIE power was increased to 100W, (d) Exp#3 where BCl3 andCl2 were increased to 8sccm, (f) Exp#4 where Ar was increased to 15sccm, (e) Exp#5where pressure was increased to 10mT and Ar was increased to 15sccm, and finally, (f)Exp#6 where pressure was increased to 15mT and Ar was increased to 20sccm.

Page 73: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 3. BRL Fabrication Process Development 60

POR Exp#1 Exp#2 Exp#3 Exp#4 Exp#5 Exp#6

Pressure 5mT 5mT 5mT 5mT 5mT 10mT 15mTICP Power 200W 250W 200W 200W 200W 200W 200WRIE Power 50W 50W 100W 50W 50W 50W 50W

BCl3 5sccm 5sccm 5sccm 8sccm 5sccm 5sccm 5sccmCl2 4.5sccm 4.5sccm 4.5sccm 8sccm 4.5sccm 4.5sccm 4.5sccmAr 8sccm 8sccm 8sccm 8sccm 15sccm 15sccm 20sccm

FOM 33% 33% 37% 12% 40% 18% 15%

Table 3.10: Recipe details of the AlGaAs RIE optimization experiments.

Further improvements based on current facility proved to be extremely challenging. That

said, further improvements may be accomplished by introducing an etch stop layer, or

perhaps access to a better RIE system for AlGaAs etching.

3.6 Process Integration

After developing the recipe for each process module, the entire process flow is integrated

to produce the final laser device. Improvements of the fabricated laser is clearly evident

from Figure 3.20 (a) to (e). Initial laser shown in Figure 3.20 (a) suffered from poor

quality with rough sidewall and severe micro-grass. After optimizing e-beam lithogra-

phy and oxide RIE recipes, significantly improved physical integrity of passive device is

shown in Figure 3.20 (b). Yet, there still remained several challenges along the way from

passive to active devices. Two of the most problematic issues encountered during process

integration were related to the use of BOE. It was discovered that BOE attacked high

Al concentration layers of the exposed Bragg stack like in Figure 3.20 (c). Furthermore,

excessive BOE right before contact deposition compromised the sidewall oxide quality

as shown in Figure 3.20 (d). These problems were resolved thanks in part by optimiz-

ing the oxide RIE recipe for residue-free surfaces, but also minimizing BOE time to less

than 5 seconds where necessary. Finally, Figure 3.20 (e) shows a typical quality of the

latest process conditions where good electrical isolation of the oxide and conformal metal

Page 74: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 3. BRL Fabrication Process Development 61

(a) (b)

(c) (d)

(e)

Figure 3.20: SEM cross-section image of (a) Initial laser suffered from poor sidewallquality and severe micro-grass in the etched area due to un-optimized e-beam lithographyand oxide RIE recipes. (b) Good passive device with optimized e-beam lithography andoxide RIE recipes. (c) BOE attacked high Al concentration layers, resulting in thecascaded profile as shown. (d) Sidewall oxide damaged from excessive BOE and alsosuffered from poor step coverage of evaporated metal. (e) Most recent optimized processshowed good fabrication quality.

Page 75: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 3. BRL Fabrication Process Development 62

contact step coverage yield robust semiconductor lasers.

3.7 Summary of Fabrication Process Developments

Process developments with respect to various aspect of laser fabrication processes were

presented. New e-beam lithography resist recipes were develop where high resolution

patterning was achieved by applying proximity effect correction and the use of bulk and

sleeve exposures. Improvements in oxide RIE quality was then demonstrated. Particu-

larly, the development of CHF3-based recipe enabled straight etch profile with no resist

damages and a residue-free surface. Furthermore, a robust metal contact evaporated

deposition recipe was developed in-house for diode laser for the first time. The challenge

of poor step coverage was resolved through the elegant optimization of oblique angle de-

position, which not only simplified the overall fabrication process but also accomplished

high yield of over 90%. Contact quality was also verified through the measurement from

TLM, and found to be comparable with what’s available in literatures. A new AlGaAs

RIE recipe was further optimized specifically for DFB laser. However, despite reducing

the micro-loading effect by half, the developed process recipe was still imperfect. An

introduction of etch stop layer might be required in order to fully resolve this problem.

Another possibility might be to explore the potential access of a better AlGaAs RIE

system, as the Trion Minilock had many tool constraints such as unstable reflected RIE

power. A detailed step-by-step fabrication processes, including recipe details, is outlined

in Appendix A. Finally, improvements in the fabricated laser quality was demonstrated

through a series of SEM-cross sections.

Page 76: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 4

Characterization of BRL Diode

Laser

Electrical and optical performances of the fabricated BRL diode laser are presented in

this chapter. Using the developed fabrication processes outlined in previous chapter, BRL

diode lasers are fabricated and characterized. First, the wafer design will be introduced,

followed by laser characterization where the laser output power and current-voltage char-

acteristics are examined. Characterization of the fabricated BRL diode laser was carried

out by other group members, Greg Iu and Dr. Bilal Janjua.

4.1 Wafer Design

A new wafer stack, BRL8, was designed by Dr. Nima Zarein based on previous learnings.

This will be the wafer used throughout this work. The main difference between BRL8

and its predecessor, BRL7, is reduced thicknesses of the Bragg stacks, matching layers

and core layers to blue-shift the generated DFG idler. In addition, QW is also slightly

modified as well. This new design is illustrated in Figure 4.1. The GaAs/AlGaAs layers

are grown by metal-organic chemical vapor deposition (MOCVD). Starting with a n-

type GaAs substrate, a 100nm buffer layer is grown before the bottom Bragg stack. The

63

Page 77: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 4. Characterization of BRL Diode Laser 64

Figure 4.1: Schematic of BRL8 wafer stack details

bottom Bragg stack is comprised of 5 periods of Al0.25Ga0.75As/Al0.7Ga0.3As while the

top Bragg stack consists of 4 periods instead. P-I-N junction is formed by doping the

top and bottom Bragg stack with C and Si, respectively. Two InAlGaAs quantum wells

(QW) separated by three 10 nm Al0.28Ga0.72As barriers are designed for Bragg mode to

lase at 780nm and a phasematching point with TIR mode at 786nm. Finally, the wafer

is capped off with 100nm of GaAs for protection. An etch depth target of 1.8µm to 2µm

is desired. The refractive indices of each wave and the field profiles for BRL8 wafer are

shown in Figure 4.2 (a). The simulated IV and LI are shown in Figure 4.2 (b) and (c),

respectively.

Page 78: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 4. Characterization of BRL Diode Laser 65

(a)

(b) (c)

Figure 4.2: (a) Simulation of the effective refractive indices of each wave on the top, andthe field profiles of Bragg mode in red and TIR mode in black. Simulation of (b) the IVcurve and (c) the LI curve with respect to current density. With permission to reproducefrom [7].

Page 79: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 4. Characterization of BRL Diode Laser 66

Figure 4.3: Experimental setup used to characterize laser performance, with permissionto reproduce from [7].

4.2 Laser Performance

Experimental setup used to characterize the laser performances is shown in Figure 4.3.

Laser sample is placed on top of a copper block that is connected to ground. Since the

n-contact is on the bottom of the laser sample, copper block then grounds the n-contact.

Current is applied on the p-contact with an electrical probe through a laser diode current

source (Keithley 2510) in either pulsed or continuous-wave (CW) mode. Furthermore, a

temperature controller (Keithley 2520) is connected to the copper block to control the

stage temperature. Output power is measured by a large area silicon photodetector from

one side of the facet. Then from the other side of the facet, either a 20x objective lens is

used in conjunction with a camera for viewing the mode profile or an optical fiber coupled

to an Ando 6310C optical spectrum analyzer (OSA) for spectrum and loss measurements.

Luminescence-Current-Voltage (LIV) are measured to demonstrate the light output and

current-voltage characteristics of the tested laser.

Page 80: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 4. Characterization of BRL Diode Laser 67

4.2.1 Light-current-voltage Characteristics and Spectrum

LIV essentially contains two parts: LI and IV. Whereas LI represents the optical property

of the laser to show how efficiently the injected current can be converted into output

photons, IV, on the other hand, shows the electrical characteristic of the laser of how

much series resistance does the laser experience and the maximum amount of current it

can take.

Initial BRL8 laser suffered from poor physical quality due to sub-optimal processing

conditions. An examination of the SEM cross-section in Figure 4.4 (a) showed that

sidewall oxides were damaged due to excessive BOE cleaning. This could lead to higher

scattering losses and would increase threshold current and reduce the output power.

Indeed, from the pulsed mode LI (red line) shown in Figure 4.4 (c), high threshold current

of 44mA and a mere 2mW output power at 100mA injection current were measured. With

a width and length of 1.88µm and 950µm, respectively, this translated to a high threshold

current density of 2263A/cm2. The external efficiency of the laser was calculated from

the slope of the LI curve to be 5.6%. Such high threshold current density and low

efficiency confirmed the increased loss from imperfect fabrication processes. Furthermore,

the directionality of e-beam evaporated deposition resulted in poor metal contact step

coverage. This then translated directly to increased resistance as evident in the steep

slope of the IV curve (blue line) after turn on in Figure 4.4 (c).

Enhanced laser performance was observed as fabrication quality improved. SEM

cross-section of a typical BRL8 laser fabricated using latest process condition is shown

in Figure 4.4 (b). As can be seen, structural integrity of both the oxide and metal

contact sidewall were much improved compared to initial results. Pulsed mode LIV

measurement shown in Figure 4.4 (d) was taken on a laser with a width of 1.9µm and a

length of 0.989mm. With a threshold current of 26mA, the respective threshold current

density achieved was 1383A/cm2. Such significantly improved threshold current density

meant loss was much reduced so that not as much current injection was needed for gain

Page 81: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 4. Characterization of BRL Diode Laser 68

(a) (b)

(c) (d)

Figure 4.4: (a) SEM cross-section image of the initial laser characterized where it sufferedfrom oxide sidewall damages and poor metal sidewall coverage. (b) SEM cross-sectionimage of typical laser quality fabricated using the optimized process recipes. (c) PulsedLIV with a 5% duty cycle of the initial laser characterized. A threshold current of44mA, turn on voltage of 1.4V, and an output power of 2mW at 100mA current inputwere measured. (d) Pulsed LIV with a 5% duty cycle of BRL8 laser fabricated usingoptimized process recipes. A threshold current of 26mA, turn on voltage 1.6V, and anoutput power of 6mW at 100mA current input were measured.

Page 82: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 4. Characterization of BRL Diode Laser 69

(a) (b)

Figure 4.5: (a) LIV and dynamic resistance measurement of BRL8 laser operating in CWmode. (b) Spectrum of the BRL8 laser at 100mA input current.

to equal loss. Output power was also drastically improved from the LI curve (red line),

where single facet output power of 6mW was measured with 100mA input current and

more than 12mW with 160mA input current. External efficiency of 11.5% was achieved.

The improvements in contact quality is clearly evident from the IV curve (blue line)

since the near flat slope after turn on represented a significant improvement in the series

resistance. Namely, sidewall coverage was good enough to not limit current spreading

and that the interface between the metal contact and GaAs surface is good. As a result,

dynamic resistance of less than 5Ω was measured. Furthermore, a turn on voltage of

1.6V is very close to the band gap of 1.589eV at 780nm emission meant that there was

no leakage paths.

Aside from pulsed operation, performance of CW mode was also examined. Figure 4.5

(a) shows the LIV and dynamic resistance of BRL8 laser operating in CW mode. Unstable

LI curve (red line) and the kinks could be due to either heating effect or mode switching,

but the smooth IV curve (blue line) again confirmed the high quality contacts with low

dynamic resistance of 5Ω. Furthermore, close to 9mW output power was achieved with

130mA input current. The lasing spectrum is then shown in Figure 4.5 (b). At just

above threshold the diode laser operated as single mode laser and at two times threshold

it became multi-moded. Such behavior are well known characteristics for this type of FP

Page 83: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 4. Characterization of BRL Diode Laser 70

lasers.

4.2.2 Thermal Sensitivity

Thermal stability is an important consideration for semiconductor lasers. Characteristic

temperature reflects the thermal sensitivity and measures the changes in threshold cur-

rent and external differential efficiency of the device with increasing temperature, and

are denoted by T0 and T1, respectively. Increasing temperature results in more non-

radiative recombination such as Auger recombination, and also increases thermal leak-

age and phonon-phonon scattering which induces Joule heating. As a result, threshold

current increases and differential efficiency decreases from the increased losses. Charac-

teristic temperature, T0 and T1, are governed by Eq. (4.1) and (4.2). From this, we can

observe that a higher characteristic temperature results in less sensitivty to change in

temperature and therefore as high of value for T0 and T1 are desired.

Ith(T ) = Ith,0 · e(T/T0) (4.1)

η(T ) = η0 · e(−T/T1) (4.2)

where Ith(T) and η(T) are the threshold current and efficiency at temperature T, re-

spectively. Whereas Ith,0(T) is the threshold current as absolute zero, similarly, η0(T) is

the efficiency at absolute zero. Experimentally, T0 and T1 can be obtained by measur-

ing the change in threshold current and differential efficiency. As such, bonded BRL8

laser was operated in CW mode and the temperature controller of the stage was raised

from 20C to 55C. The measured LI curve is shown in Figure 4.6. Then from these

curves, Figure 4.7 (a) and (b) can be plotted to extract T0 and T1, respectively. T0

was measured to be 77K whereas T1 was measured to be 71K. For comparison, typical

characteristic temperature for edge emitting diode laser ranges from 60K to 150K [139],

Page 84: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 4. Characterization of BRL Diode Laser 71

Figure 4.6: LI curve for temperature ranging from 20C to 55C in CW mode

(a) (b)

Figure 4.7: Change in (a) threshold current, and (b) slope efficiency with temperature.

Page 85: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 4. Characterization of BRL Diode Laser 72

so the measured characteristic temperature falls within expected range. However, this

value is lower than previously reported value of ∼100K from previous generation. It is

also worth noting that the current design is focused on nonlinear performance and not

particularly well designed to minimize carrier leakages. Heat dissipation can be improved

to minimize thermal sensitivity. One potential solution is to use silicon nitride instead

of silicon dioxide as electrical isolation layer, where the better thermal conductivity of

nitride could improve heat dissipation [140]. Alternatively, electro-plating a thick metal

contact layer could also significantly reduce heating issues.

4.2.3 Loss Measurement

Loss can be measured by leveraging the dependence of efficiency with respect to cavity

length. External differential efficiency, ηD, is the ratio of increase in emitted photons to

increase in injected carriers. It is therefore the efficiency of the entire system and can be

extrapolated from the slope of LI curve of individual laser. The dependence of differential

efficiency to cavity length can be expressed by:

1

ηD=

αint

ln(1/R) · ηint· L+

1

ηint(4.3)

where ηint is the internal efficiency, R is the reflectivity of the facet, L is the cavity length

and αint is the internal loss. From this equation then, internal loss and internal efficiency

can be measured experimentally by testing lasers with varying cavity lengths. Figure 4.8

plots the inverse external differential efficiency against cavity lengths. From the linearly

fitted line, the slope and y-intercept can be used to extrapolate ηint and αint to be 0.58

and 8.9cm−1, respectively. Both represents significant improvement from results reported

from previous generations [4].

Page 86: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 4. Characterization of BRL Diode Laser 73

Figure 4.8: Plot of 1/ηD with varying cavity lengths.

4.3 Tapered Diode Laser

Tapered diode lasers have been under extensive study for its potential in generating higher

fundamental mode power and its relative ease in design and fabrication [141][142][144][146].

The design utilized in this work takes the shape of a bow-tie like shown in Figure 4.9.

The bow-tie laser has a total length, L, that scales with the tapering length, Lt. The full

width, W, is determined by the half angle, θ. The center ridge waveguide is 2µm and

filters higher order mode. Such design takes advantage of the increased output power

from larger ridge width but filters out the higher order mode that’s associated with it. In

addition, increased effective area allows for higher injection current before thermal roll

over.

The main design consideration for tapered design is to ensure adiabatic operation

where the fundamental mode is well confined [147]. Essentially, the widening of waveguide

sidewalls has to be slower than the diffraction spreading of the first-order mode. For

angles that are too large, significant radiation loss will hinder device performances or

Page 87: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 4. Characterization of BRL Diode Laser 74

Figure 4.9: Schematic illustration of bow-tie design.

(a) (b) (c)

(d) (e)

Figure 4.10: CW mode LIV of (a) 0, (b) 1, (c) 2, (d) 3 and (e) 4 bow-tie diode laser.

Page 88: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 4. Characterization of BRL Diode Laser 75

the laser will exhibit strong multi-moded behavior. Typically, this condition is ensured

for a full angle of 6 or less [148]. With that in mind, tapered BRL8 lasers with half

angle of 0, 1, 2, 3 and 4, and, total length of 0.25mm, 0.5mm, 0.75mm and 1mm are

fabricated and characterized to examine its electrical performance. The CW mode LIV

was measured for lasers with length of 0.705mm and a half angle ranging from 0 to 4.

The results are shown in Figure 4.10 (a) to (e) for 0 to 4, respectively. As a result of

increased effective area, higher injection current can be pumped into the tapered lasers

to demonstrate higher power. In particular, 1 achieved highest peak power of 18mW

with 200mA input power which was not observed before in FP diode lasers as it suffers

catastrophic facet damages usually above 150-160mA. The improvement in peak power is

related to increased gain area of the tapered design. The flatness of IV curve corresponds

to dynamic resistance of less than 5Ω despite drastically increased effective area. This was

an even more concrete evidence of the robustness of the newly developed metal contacts.

Since the effective area is significantly different, comparing injection current is not the

most direct comparison. A better metric would then be to compare the output power with

current density instead. Figure 4.11 shows the single facet output power with increasing

current density by normalizing the input current by effective area of each laser. As

expected, tapered lasers generate higher power given the same current density due to its

increased area. The reduction in threshold current density is also immediately evident.

Whereas the 0 straight laser exhibited a threshold current density of 1383A/cm2, it is

reduced by almost half to 712A/cm2 for 1 tapered laser as a result of better electron

utilizations. Furthermore, the spectrum of the bow-tie lasers are examined to see if the

lasers are operating in single or multi-mode. Figure 4.12 (a) shows the 2 tapered laser

behaving similar to the straight FP laser where at just above threshold, the laser are

single moded, but at 2 times the threshold current, the laser becomes multi-moded. Yet,

the 4 tapered laser shown in Figure 4.12 (b) reveals that it is multi-moded even just

above threshold. This is inline with expectation from literature as most tapered design

Page 89: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 4. Characterization of BRL Diode Laser 76

are limited to less than 3 half angle [148].

Figure 4.11: LI curve for various degree tapering by current density.

(a) (b)

Figure 4.12: Spectrum of (a) 2 tapered bow-tie and (b) 4 tapered bow-tie.

4.4 Summary of Diode Laser Characterization

This chapter has presented the characterization results of BRL8 laser diode using the

newly optimized fabrication processes. The wafer structure is first described then followed

Page 90: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 4. Characterization of BRL Diode Laser 77

by details of electrical, optical and thermal performances. Table 4.1 summarizes the

important laser properties of the fabricated straight diode laser and comparison to results

from previous generation laser, BRL7.

Device Jth avg. R αint ηint T0

BRL7 1705 A/cm2 ∼14Ω 14.1cm−1 0.14 105 KBRL8 1383 A/cm2 ∼7Ω 8.9cm−1 0.58 77 K

Table 4.1: Comparison of important device characteristics of BRL8 to BRL7.

In terms of threshold current density, a reduction of ∼20% was demonstrated compar-

ing to BRL7. This is attributed to the enhanced fabrication quality to reduce scattering

loss. Etch depth is also optimized by leveraging from previous learnings. The lower

loss is reflected in the reduction of αint and improvement in ηint. Furthermore, 50%

reduction in the average dynamic resistance serves as evidence of better metal contact

quality and contact interface. Comparing to similar laser available from literature which

was only able to achieve pulsed-mode operation, we are able to not only demonstrate

CW-mode operation but also show a 50% reduction in threshold current density [100].

Lastly, thermal sensitivity of the laser is examined and found to be lower than previously

reported results. This represent room for improvements in the thermal dissipation of

these lasers. Overall though, the newly developed fabrication processes promises robust

and high performance lasers with good yield.

Finally, tapered bow-tie lasers are fabricated and characterized for the first time on

BRW platform. The increased effective area of tapered design allows for higher injection

current without damaging the device. This is confirmed from the experimental char-

acterization result where 1 tapering produced single facet power of 18mW at 200mA

input which was not achievable with straight laser. In addition, significant reduction

in threshold current density from 1383A/cm2 to 712A/cm2 is also demonstrated. Spec-

trum analysis demonstrated similar multi-mode behavior for smaller tapering angle lasers

while for the largest 4 bow-tie it showed multi-mode behavior immediately after reach-

Page 91: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 4. Characterization of BRL Diode Laser 78

ing threshold. As a result, we conclude that the tapering angle should be limited to less

than 3, which is inline with literature findings.

Page 92: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 5

Conclusion and Future Directions

The focus of this thesis revolves around the fabrication of robust and better performance

BRL diode laser. New recipes for e-beam lithography, oxide RIE, contacts evaporation

and AlGaAs RIE have been developed and integrated to produce functional lasers. Fur-

thermore, fabrication flow has been simplified by eliminating the complex planarization

scheme. Oblique angle deposition during e-beam evaporator deposition is demonstrated

to be an elegant solution with reliable and superior performance to achieve significantly

lower contact resistance. It is worth noting that the development of contact deposition

process presented in this work enabled complete in-house fabrication capabilities of semi-

conductor diode lasers. Thus, eliminating time consuming and expensive outsourcing

and offering more control over fabrication quality. Finally, electrical, optical and thermal

performances of the fabricated diode lasers are then presented and compared to previous

generation results.

The details of process developments are presented in Chapter 3. For e-beam lithog-

raphy resist, rather than just exposing the entire pattern using one dose, the pattern is

broken down to sleeve section for small features that require high resolution and bulk sec-

tion for big patterns for lower resolution. Such setting allows for minimizing writing time

while preserving accurate dimensions and good sidewall quality. In terms of oxide RIE,

79

Page 93: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 5. Conclusion and Future Directions 80

a CHF3-based recipe is developed to produce a residue free etch. Significant improve-

ment in the etch quality both in terms sidewall roughness and residue is demonstrated.

E-beam evaporated p- and n-type contacts for semiconductor diode laser are developed

for the first time. A tilt angle of 20-45 is utilized for oblique angle deposition to produce

high quality contacts. Lastly, the optimization of AlGaAs RIE recipe for DFB laser is at-

tempted to minimize the micro-loading effect. Significant improvement is demonstrated

by increasing pressure and Ar flow rate. Such phenomenon can be attributed to more

etchant gases available for the much needed chemical reaction inside the small surface

opening. However, due to tool constraint this problem is not completely resolved and

footing in the bottom 10-15% of the ridge is still observed. Lastly, the improvements in

physical integrity of the diode lasers are demonstrated through a series of SEM images.

The fabricated diode lasers are experimentally characterized in Chapter 4. BRL8

diode laser has demonstrated threshold current density of 1383A/cm2, more than 12mW

output, and an external efficiency of 11.5%. Combined with an internall loss of 8.9cm−1

and internal efficiency of 0.58, this represented reduction in propagation loss from the im-

proved fabrication processes. Furthermore, robust metal scheme accomplished by oblique

angle deposition and better contact interface is demonstrated by comparing the average

dynamic resistance of 7Ω of BRL8 to 14Ω of BRL7, a 50% reduction. Thermal sensitivity

of the laser is examined where the characteristic temperature measured, T0 and T1, is

77K and 71K, respectively. Using the newly developed process, tapered bow-tie lasers

are demonstrated for the first time using BRW platform. Benefits from the increased

effective area to allow for higher injection current above 150mA which would normally

damage FP diode lasers. An output power of 18mW at 200mA input is demonstrated

from 1 tapered bow-tie laser. Furthermore, significant reduction in threshold current

density from 1383A/cm2 to 712A/cm2 is also shown. It is also shown from spectrum anal-

ysis that tapering angle larger than 3 is not desirable due to the multi-moded nature

even just above threshold.

Page 94: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Chapter 5. Conclusion and Future Directions 81

5.1 Future Direction

With a robust process for high performance diode lasers, the fabricated BRL8 lasers will

be used to demonstrate self-pump DFG by phasematching the Bragg and TIR modes. As

a result, it’s important to explore the nonlinear properties of the laser to identify phase-

matching point. Furthermore, high fundamental power and tunability are important

characteristics desired for a successful self-pump DFG laser. With these in mind, other

types of semiconductor lasers such as ring, tapered and DFB designs will be fabricated

and studied. Below lists the future work that will be carried out:

Lower characteristic temperature exhibited by the current devices can be improved

by designing for better heat dissipation. One possible solution might be to switch

the isolation layer material from SiO2 to SiNx. Another solution might be to design

a heat dissipation pad or electroplate thick copper layer to channel the generated

heat.

Further examine the fabricated BRL8 diode laser for its nonlinear property and

demonstrate CW electrically injected self-pumped DFG laser.

Fabricate ring lasers using established process flow to demonstrate higher funda-

mental mode power.

Challenges still remain with micro-loading effect for DFB AlGaAs etching despite

significant improvement. An alternative DBR design could be used to achieve

single frequency and single mode lasing. For this design, the active ridge and

passive gratings can be fabricated separately with independent etch depth control.

Micro-loading effect will also be significantly reduced without the grooved regions

as with current design.

Page 95: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Appendix A

Detailed step-by-step fabrication

process

Stage 1: Defining ridge waveguides

1. Cleave wafer into desired sample size using diamond scriber.

2. Blow dry the sample with nitrogen gun to remove any particles from cleaving.

Then rinse the sample with acetone and iso-propanol (IPA) and ultrasonic clean in

acetone and IPA sequentially for 3 minutes each. Then the sample is blow dried

with nitrogen gun.

3. Bake the sample at 180C for 5 minutes to remove any remaining moistures.

4. Prepare the Oxford Plasmalab 100 for PECVD deposition by turning on the tool

according to instructions. Physically clean the chamber, if necessary, and set the

table temperature to 300C. Be careful with the external temperature controller

and follow the instruction carefully to prevent damaging the tool.

5. Run the O2 clean recipe for 15mins at 300C.

82

Page 96: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Appendix A. Detailed step-by-step fabrication process 83

6. Place samples on a Si carrier wafer and surround it by scrap Si pieces to minimize

edge effects. Place the carrier wafer into loadlock and initiate pump down process.

7. Deposit PECVD oxide at 300C for 2min50s using the recipe SiO2 Eric (300C).

Recipe details: 30W RF power, 1000mT pressure, 170sccm 5%SiH4/N2, 710sccm

N2O.

8. Inspect the samples under optical microscope to ensure no defects are observed.

9. Run the clean recipe and follow shutdown procedure for the Oxford Plasmalab 100

PECVD tool.

10. Prepare the samples for e-beam lithography by rinsing it with acetone and IPA,

then baking at 180C to de-moisturize.

11. Spin coat 1 layer of ZEP-520A resist at 2000RPM and 584 acceleration for 1min

each. Then bake the sample at 180C for 3mins to reflow the resist.

12. Inspect for defects or bubble under optical microscope.

13. Expose the resist using following parameters: Bulk: 25nm resolution, 230uC/cm2

dose, 10nA current. Sleeve: 5nm resolution, 180uC/cm2 dose, 1nA current

14. Develop the sample in ZED-N50 developer solution for 65s. Then, immediately

immerse the sample in MIBK:IPA (9:1) solution for 30s to stop the development.

Finally, blow dry the sample with N2 gun.

15. Inspect the exposed area under optical microscope. If pattern is under-developed,

dip in the developer solution again for 5s. If the pattern is over-developed or the

via opening is mis-aligned, repeat the e-beam lithography process.

16. Post-bake the sample at 100C for 5 mins to harden the resist. This step improves

selectivity to subsequent dry etching.

Page 97: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Appendix A. Detailed step-by-step fabrication process 84

17. Prepare the Oxford PlasmaPro 100 Cobra ICP-RIE for oxide etching by running

a 2 step clean recipe with Si carrier wafer: 3min SF6 etch back followed by 10min

O2 clean.

18. Condition the chamber by running the oxide etch recipe. Recipe details: 200W

RIE power, 30mT pressure, 38sccm Ar, 12sccm CHF3, 10sccm He, 20C table

temperature.

19. Unload the Si carrier wafer and apply tiny drop of thermal paste, then affix the

sample. Make sure thermal paste does not overflow. Blow dry the sample gently

with N2 gun.

20. Place carrier wafer into loadlock, pump to vacuum, load into chamber and run the

CHF3 oxide etch recipe for 5min15s.

21. Unload the carrier wafer to remove sample. Wipe the carrier with IPA and place

back into chamber to run O2 clean for 5min.

22. Condition the chamber and repeat the oxide etching process for next sample if

necessary. Otherwise, unload the wafer and log off the tool.

23. Inspect the sample under optical microscope for any defects.

24. Strip resist by immersing sample in ZDMAC resist stripper at 90C for 2 hours.

A quick 5s sonicate clean can help to remove any resist residue. Then rinse the

sample with acetone, followed by IPA, and blow dry with N2 gun.

25. The sample is de-scummed in TePla Technics 100-E Oxygen Plasma Asher for 180s

at 100W power and 0.3T pressure. Adjust the O2 knob until pressure of 0.3T is

achieved.

26. Prepare the Trion Minilock RIE etcher for AlGaAs etch by turning on the Cl2 and

BCl3 gas cylinders in the back (support area). Also, power on the roughing pump,

Page 98: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Appendix A. Detailed step-by-step fabrication process 85

turbo pump and both RF power supplies.

27. Prepare the chamber by placing the Al carrier into chamber and run H2 clean,

followed by O2 clean. Then switch to graphite carrier and repeat O2 clean. Reduce

chiller temperature to 5C and condition the chamber by running the AlGaAs etch

recipe. Recipe details: 5mT pressure, 200W ICP power, 50W RIE power, 5sccm

BCl3, 4.5sccm Cl2, 8sccm Ar, and etch time 120s. For DFB laser: 15mT pressure,

200W ICP power, 50W RIE power, 5sccm BCl3, 4.5sccm Cl2, 20sccm Ar, and etch

time 105s.

28. After conditioning, load the sample and run the same recipe again. Limit each etch

to 30s and leave 3 mins of cool down time in between, or else sample will over heat

and be damaged.

29. Inspect the sample under optical microscope for defects/damages.

30. Run the O2 recipe using graphite carrier. Then, repeat etching if there are more

samples, otherwise, follow shut down procedure.

31. Do not put sample in BOE after AlGaAs etch if theres high Al concentration layers

in Bragg stack. It will cause the high Al % layer to be etched

Stage 2: Electrical Isolation and Via Opening

1. Prepare the Oxford Plasmalab 100 for PECVD deposition by turning on the tool

according to instructions. Physically clean the chamber, if necessary, and set the

table temperature to 300C. Be careful with the external temperature controller

and follow the instruction carefully to prevent damaging the tool.

2. Run the O2 clean recipe for 15mins @ 300C

3. Place samples on a Si carrier wafer and surround it by scrap Si pieces to minimize

edge effects. Place the carrier wafer into loadlock and initiate pump down process.

Page 99: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Appendix A. Detailed step-by-step fabrication process 86

4. Deposit PECVD oxide at 300C for 2min50s using the recipe SiO2 Eric (300C).

Recipe details: 30W RF power, 1000mT pressure, 170sccm 5%SiH4/N2, 710sccm

N2O.

5. Inspect the sample under optical microscope to ensure no defects are observed.

6. Run the clean recipe and follow shutdown procedure for the Oxford Plasmalab 100

PECVD tool.

7. Prepare the sample for e-beam lithography by rinsing it with acetone and IPA, and

then baked at 180C to de-moisturize.

8. Spin coat 2 layers of ZEP-520A resist at 2000RPM and 584 acceleration for 1min

each. Then bake the sample at 180C for 3mins in-between each layer to reflow the

resist.

9. Inspect for defects or bubble under optical microscope.

10. Expose the resist using following parameters: Bulk: 25nm resolution, 230uC/cm2

dose, 10nA current. Sleeve: 5nm resolution, 180uC/cm2 dose, 1nA current

11. Develop the sample in ZED-N50 developer solution for 65s. Then, immediately

immerse the sample in MIBK:IPA (9:1) solution for 30s to stop the development.

Finally, blow dry the sample with N2 gun.

12. Inspect the exposed area under optical microscope. If pattern is under-developed,

dip in the developer solution again for 5s. If the pattern is over-developed or the

via opening is mis-aligned, repeat the e-beam lithography process.

13. Post-bake the sample at 100C for 5 mins to harden the resist. This step improves

selectivity to subsequent dry etching.

Page 100: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Appendix A. Detailed step-by-step fabrication process 87

14. Prepare the Oxford PlasmaPro 100 Cobra ICP-RIE for oxide etching by running

a 2 step clean recipe with Si carrier wafer: 3min SF6 etch back followed by 10min

O2 clean.

15. Condition the chamber by running the oxide etch recipe. Recipe details: 200W

RIE power, 30mT pressure, 38sccm Ar, 12sccm CHF3, 10sccm He, 20C table

temperature.

16. Unload the Si carrier wafer and apply tiny drop of thermal paste, then affix the

sample. Make sure thermal paste does not overflow. Blow dry the sample gently

with N2 gun.

17. Place carrier wafer into loadlock, pump to vacuum, load into chamber and run the

CHF3 oxide etch recipe for 8mins.

18. Unload the carrier wafer to remove sample. Wipe the carrier with IPA and place

back into chamber to run O2 clean for 5min.

19. Condition the chamber and repeat the oxide etching process for next sample if

necessary. Otherwise, unload the wafer and log off the tool.

20. Inspect the sample under optical microscope for any defects.

21. Strip resist by immersing sample in ZDMAC resist stripper at 90C for 2 hours.

A quick 5s sonicate clean can help to remove any resist residue. Then rinse the

sample with acetone, followed by IPA, and blow dry with N2 gun.

22. The sample is de-scummed in TePla Technics 100-E Oxygen Plasma Asher for 180s

at 100W power and 0.3T pressure. Adjust the O2 knob until pressure of 0.3T is

achieved.

Stage 3: Contact Deposition

Page 101: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Appendix A. Detailed step-by-step fabrication process 88

1. Prepare the sample for e-beam lithography by rinsing it with acetone and IPA, and

then baked at 180C to de-moisturize.

2. First spin coat a layer of MMA at 5000RPM and 584 acceleration for 1min, then

bake at 180C for 3mins. Next, spin coat the PMMA-A5 layer using same condition,

followed by another bake at 180C for 3mins.

3. Inspect for defects or bubbles under optical microscope.

4. Expose the bi-layer PMMA resist using following parameters: 25nm resolution,

1200uC/cm2 dose, 50nA current.

5. Develop the sample in MIBK:IPA (1:3) for 60s at room temperature, then immerse

the sample in IPA for 30s to stop the development. Finally, blow dry the sample

with N2 gun.

6. Inspect the exposed area under optical microscope. If pattern is under-developed,

dip in the developer solution again for 5s. If the pattern is over-developed, repeat

the e-beam lithography process.

7. Dip sample in BOE for 5s to ensure good interface inside the via opening. Rinse

the sample with DI water and bake it at 100C for 5 mins.

8. Vent the Angstrom NEXDEP evaporator chamber. Remember to open the latches

before venting, as the door will pop open once vented. Load the necessary crucibles

and affix samples onto substrate holder. For oblique angle deposition, use 3 layers

of scrap Si wafer as base, then affix sample by leaning against it with double sided

carbon tape. Pump down the chamber after placing the substrate holder back into

the chamber.

9. Wait 1 hour for the chamber to reach base pressure ∼2x10−6.

Page 102: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Appendix A. Detailed step-by-step fabrication process 89

10. Deposit p-contact using recipes in following sequence - Ti: 20nm thickness, 1A/s

dep rate, ∼9% power. Au: 400nm thickness, 2A/s dep rate, ∼11% power.

11. Wait 30mins after deposition before venting to let the crucible cool down. Open

latches and vent chamber. Unload samples and crucible and pump down chamber.

12. Lift-off the metal by immersing the sample in warm acetone (60C) for 10mins.

Agitate the sample inside warm acetone using a pipette. If the metal does not peel

off after 15-20mins, then sonicate in warm acetone for 5s. Then rinse the sample

in acetone and IPA and blow dry with N2 gun.

13. Prepare the setup for sample thinning. First, heat the sample holder stub to 110C

and melt mounting wax on it. Affix sample face-down to the stub, and then remove

stub from hot plate. Flatten the sample using tweezer and wait 5mins for the wax

to cool and solidify.

14. Set lapping fixture to a setting of 0.175 and attach sample holder stub to fixture

with screw. Install a new silicon carbide polishing disc with 320 grit on the lapping

machine.

15. Continuously flow water and begin rotation with a voltage setting of 40V.

16. After contacting the polishing disc, gently press down the fixture to apply some

pressure. Rotate the fixture to allow for more uniform thinning. Measure the

thickness of the sample periodically.

17. Then set lapping fixture to a setting of 0.16 and attach sample holder stub to

fixture with screw. Install a new silicon carbide polishing disc with 1200 grit on

the lapping machine.

18. Continuously flow water and being rotation with a voltage setting of 20V.

Page 103: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Appendix A. Detailed step-by-step fabrication process 90

19. Repeat thinning process and measure the thickness of the sample periodically until

desired thickness.

20. Remove sample holder stub from fixture and heat it to 110C to melt the mounting

wax on it. Carefully remove the thinned sample and immerse it in acetone for 10

mins. Rinse with acetone and IPA and gently blow dry with N2 gun.

21. Vent the Angstrom NEXDEP evaporator chamber. Remember to open the latches

before venting, as the door will pop open once vented. Load the necessary crucibles

and affix samples onto substrate holder. Pump down the chamber after placing the

substrate holder back into the chamber.

22. Wait 1 hour for the chamber to reach base pressure ∼2x10−6.

23. Deposit p-contact using recipes in the following sequence - Au: 45nm thickness,

2A/s dep rate, ∼11% power. Ge: 25nm thickness, 0.2A/s dep rate, ∼5% power.

Ni: 30nm thickness, 0.2A/s dep rate, ∼8% power. Au: 120nm thickness, 2A/s dep

rate, ∼11% power

24. Wait 30 mins after deposition before venting to let the crucible cool down. Open

latches and vent chamber. Unload samples and crucible and pump down chamber.

25. Cleave the sample by using the manual scriber in Pratt cleanroom. Place the

sample on a blue adhesive tape, and scribe at the desired location. Then use a

plastic non-adhesive layer to cover the sample to confine it. Finally, roll the sample

over a round or sharp edge, the cleave marks should propagate along the crystalline

axis.

26. Inspect the cleaved sample under an optical microscope, and then place in a mildly

adhesive gel-pak.

Page 104: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Bibliography

[1] Govind P. Agrawal and Niloy K. Dutta. Semiconductor Lasers. Springer Nature,

1995.

[2] Frank K Tittel. Mid-ir semiconductor lasers enable sensors for trace-gas-sensing

applications. Perspectives, 11:00, 2017.

[3] Bhavin J. Bijlani. Monolithic integration of active and second-order nonlinear

functionality in bragg reflection waveguides. PhD Thesis, University of Toronto,

2011.

[4] Nima Zareian. Towards self-pumped difference frequency generation in bragg re-

flection waveguide lasers. PhD Thesis, University of Toronto, 2014.

[5] Cen Shawn Wu, ChiiDong Chen, and Yoshiyuki Makiuchi. High-energy electron

beam lithography for nanoscale fabrication. INTECH Open Access Publisher, 2010.

[6] TNFC. http://tnfc.utoronto.ca/.

[7] Meng Lon Iu. Optical parametric devices. M.A.Sc Thesis, University of Toronto,

2016.

[8] A. L. Schawlow and C. H. Townes. Infrared and optical masers. Physical Review,

112(6):1940–1949, dec 1958.

[9] T. H. MAIMAN. Stimulated optical radiation in ruby. Nature, 187(4736):493–494,

aug 1960.

91

Page 105: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Bibliography 92

[10] A. Javan, W. R. Bennett, and D. R. Herriott. Population inversion and continuous

optical maser oscillation in a gas discharge containing a he-ne mixture. Physical

Review Letters, 6(3):106–110, feb 1961.

[11] R. N. Hall, G. E. Fenner, J. D. Kingsley, T. J. Soltys, and R. O. Carlson. Coherent

light emission from GaAs junctions. Physical Review Letters, 9(9):366–368, nov

1962.

[12] Nick Holonyak and S. F. Bevacqua. COHERENT (VISIBLE) LIGHT EMISSION

FROM ga(as1-xPx) JUNCTIONS. Applied Physics Letters, 1(4):82–83, dec 1962.

[13] T. M. Quist, R. H. Rediker, R. J. Keyes, W. E. Krag, B. Lax, A. L. McWhorter, and

H. J. Zeigler. SEMICONDUCTOR MASER OF GaAs. Applied Physics Letters,

1(4):91–92, dec 1962.

[14] Marshall I. Nathan, William P. Dumke, Gerald Burns, Frederick H. Dill, and Gor-

don Lasher. STIMULATED EMISSION OF RADIATION FROM GaAs p-n JUNC-

TIONS. Applied Physics Letters, 1(3):62–64, nov 1962.

[15] Jerome V. V. Kasper and George C. Pimentel. HCl chemical laser. Physical Review

Letters, 14(10):352–354, mar 1965.

[16] Larry A. Coldren, Scott W. Corzine, and Milan L. Masanovic. Diode Lasers and

Photonic Integrated Circuits. Wiley-Blackwell, mar 2012.

[17] J. J. Hsieh, J. A. Rossi, and J. P. Donnelly. Room-temperature cw operation of

GaInAsP/InP double-heterostructure diode lasers emitting at 1.1 µm. Applied

Physics Letters, 28(12):709–711, jun 1976.

[18] Kunishige Oe, Seigo Ando, and Koichi Sugiyama. 1.3 µm CW operation of

GaInAsP/InP DH diode lasers at room temperature. Japanese Journal of Applied

Physics, 16(7):1273–1274, jul 1977.

Page 106: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Bibliography 93

[19] S. Akiba, K. Sakai, Y. Matsushima, and T. Yamamoto. Room temperature c.w.

operation of InGaAsP/InP heterostructure lasers emitting at 1.56 µm. Electronics

Letters, 15(19):606, 1979.

[20] G.D. Henshall and P.D. Greene. Low-threshold (ga,in)(as,p) d.h. lasers emitting

at 1.55 µm grown by l.p.e. Electronics Letters, 15(20):621, 1979.

[21] R.J. Nelson and N.K. Dutta. Chapter 1 review of ingaasp/inp laser structures and

comparison of their performance. In Semiconductors and Semimetals, pages 1–59.

Elsevier BV, 1985.

[22] M. Horiguchi and H. Osanai. Spectral losses of low-OH-content optical fibres.

Electronics Letters, 12(12):310, 1976.

[23] T. Miya, Y. Terunuma, T. Hosaka, and T. Miyashita. Ultimate low-loss single-mode

fibre at 1.55 µm. Electronics Letters, 15(4):106, 1979.

[24] Cristina Masoller. Semiconductor lasers: physics, dynamics & applications.

[25] Paul Dvorkis, David P Goren, and Glenn S Spitz. Laser scanning system and

scanning method for reading bar codes, August 10 1993. US Patent 5,235,167.

[26] TM Baer and F Schlachter. Lasers in science and industry: A report to ostp, 2010,

2010.

[27] S. Stallinga. Blu-ray disc. In CLEO/Europe. 2005 Conference on Lasers and

Electro-Optics Europe, 2005. Institute of Electrical and Electronics Engineers

(IEEE).

[28] Joseph C. Palais and Suzanne R. Nagel. Fibert optic communications. Physics

Today, 41(10):92–94, oct 1988.

Page 107: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Bibliography 94

[29] S. Fujita, M. Kitamura, T. Torikai, N. Henmi, H. Yamada, T. Suzaki, I. Takano, and

M. Shikada. 10 gbit/s, 100 km optical fibre transmission experiment using high-

speed MQW DFB-LD and back-illuminated GaInAs APD. Electronics Letters,

25(11):702–703, may 1989.

[30] C Randy Giles and Emmanuel Desurvire. Modeling erbium-doped fiber amplifiers.

Journal of lightwave technology, 9(2):271–283, 1991.

[31] C.A. Brackett. Dense wavelength division multiplexing networks: principles and

applications. IEEE Journal on Selected Areas in Communications, 8(6):948–964,

1990.

[32] Hui Zang, Jason P Jue, Biswanath Mukherjee, et al. A review of routing and

wavelength assignment approaches for wavelength-routed optical wdm networks.

Optical networks magazine, 1(1):47–60, 2000.

[33] T. Akiyama, H. Kuwatsuka, N. Hatori, Y. Nakata, H. Ebe, and M. Sugawara.

Symmetric highly efficient (/spl sim/0 dB) wavelength conversion based on four-

wave mixing in quantum dot optical amplifiers. IEEE Photonics Technology Letters,

14(8):1139–1141, aug 2002.

[34] J. Yamawaku, H. Takara, T. Ohara, K. Sato, A. Takada, T. Morioka, O. Tadanaga,

H. Miyazawa, and M. Asobe. Simultaneous 25 GHz-spaced DWDM wavelength

conversion of 1.03 tbits (103Ö10 gbits) signals in PPLN waveguide. Electronics

Letters, 39(15):1144, 2003.

[35] Peter Werle, Franz Slemr, Karl Maurer, Robert Kormann, Robert Mcke, and Bernd

Jnker. Near- and mid-infrared laser-optical sensors for gas analysis. Optics and

Lasers in Engineering, 37(2-3):101–114, feb 2002.

[36] Frank K. Tittel, Dirk Richter, and Alan Fried. Mid-infrared laser applications in

spectroscopy. In Topics in Applied Physics, pages 458–529. Springer Nature.

Page 108: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Bibliography 95

[37] Rafal Lewicki, Mohammad Jahjah, Yufei Ma, Przemyslaw Stefanski, Jan

Tarka, Manijeh Razeghi, and Frank K. Tittel. Current status of mid-infrared

semiconductor-laser-based sensor technologies for trace-gas sensing applications.

In The Wonder of Nanotechnology: Quantum Optoelectronic Devices and Applica-

tions. Society of Photo-Optical Instrumentation Engineers.

[38] Vincenzo Spagnolo, Pietro Patimisco, Simone Borri, Gaetano Scamarcio, Bruce E.

Bernacki, and Jason Kriesel. Part-per-trillion level SF 6 detection using a quartz

enhanced photoacoustic spectroscopy-based sensor with single-mode fiber-coupled

quantum cascade laser excitation. Optics Letters, 37(21):4461, oct 2012.

[39] H. Kroemer. A proposed class of hetero-junction injection lasers. Proceedings of

the IEEE, 51(12):1782–1783, dec 1963.

[40] I. Hayashi, M. Panish, and P. Foy. A low-threshold room-temperature injection

laser. IEEE Journal of Quantum Electronics, 5(4):211–212, apr 1969.

[41] H. Rupprecht, J. M. Woodall, and G. D. Pettit. EFFICIENT VISIBLE ELEC-

TROLUMINESCENCE AT 300°k FROM ga1-xAlxAs p-n JUNCTIONS GROWN

BY LIQUID-PHASE EPITAXY. Applied Physics Letters, 11(3):81–83, aug 1967.

[42] ZhI Alferov, VM Andreev, EL Portnoi, and MK Trukan. Injection lasers based on

heterojunctions of the alas-gaas system having a low emission threshold at room

temperature(low threshold injection lasers in ir and visible spectrum at room tem-

perature employing alas-gaas heterojunctions, noting use for cw mode). Fizika I

Tekhnika Poluprovodnikov, 3:1328–1332, 1969.

[43] I. Hayashi, M. B. Panish, P. W. Foy, and S. Sumski. JUNCTION LASERS WHICH

OPERATE CONTINUOUSLY AT ROOM TEMPERATURE. Applied Physics

Letters, 17(3):109–111, aug 1970.

Page 109: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Bibliography 96

[44] M. Ettenberg. Very low-threshold double-heterojunction AlxGa1-xAs injection

lasers. Applied Physics Letters, 27(12):652–654, dec 1975.

[45] W. T. Tsang. Extremely low threshold (AlGa)as graded-index waveguide separate-

confinement heterostructure lasers grown by molecular beam epitaxy. Applied

Physics Letters, 40(3):217–219, feb 1982.

[46] Gyoungwon Park, O.B. Shchekin, D.L. Huffaker, and D.G. Deppe. Low-threshold

oxide-confined 1.3µm quantum-dot laser. IEEE Photonics Technology Letters,

12(3):230–232, mar 2000.

[47] Z. Alferov. Double heterostructure lasers: early days and future perspectives. IEEE

Journal of Selected Topics in Quantum Electronics, 6(6):832–840, nov 2000.

[48] M. Ettenberg and D. Botez. High-power diode lasers for optical recording with

operating lifetimes in excess of 10 000 h. Electronics Letters, 18(4):153, 1982.

[49] H. Yonezu, I. Sakuma, T. Kamejima, M. Ueno, K. Iwamoto, I. Hino, and I. Hayashi.

High optical power density emission from a ”window-stripe” AlGaAs double-

heterostructure laser. Applied Physics Letters, 34(10):637–639, may 1979.

[50] D. R. Scifres, R. D. Burnham, and W. Streifer. Continuous wave high-power,

high-temperature semiconductor laser phase-locked arrays. Applied Physics Letters,

41(11):1030–1032, dec 1982.

[51] C. Lindstrom, R.D. Burnham, D.R. Scifres, T.L. Paoli, and W. Streifer. One watt

CW visible single-quantum-well lasers. Electronics Letters, 19(3):80, 1983.

[52] M. Sakamoto, D.F. Welch, H. Yao, J.G. Endriz, and D.R. Scifres. High power, high

brightness 2 w (200 µm) and 3 w (500 µm) CW AlGaAs laser diode arrays with

long lifetimes. Electronics Letters, 26(11):729–730, may 1990.

Page 110: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Bibliography 97

[53] M. Sakamoto, J.G. Endriz, and D.R. Scifres. 20 w CW monolithic AlGaAs (810

nm) laser diode arrays. Electronics Letters, 28(2):178, 1992.

[54] M. Sakamoto, J.G. Endriz, and D.R. Scifres. 120 w CW output power from mono-

lithic AlGaAs (800 nm) laser diode array mounted on diamond heatsink. Electronics

Letters, 28(2):197, 1992.

[55] Haruhisa Soda, Ken ichi Iga, Chiyuki Kitahara, and Yasuharu Suematsu.

GaInAsP/InP surface emitting injection lasers. Japanese Journal of Applied

Physics, 18(12):2329–2330, dec 1979.

[56] Fumio Koyama, Susumu Kinoshita, and Kenichi Iga. Room-temperature contin-

uous wave lasing characteristics of a GaAs vertical cavity surface-emitting laser.

Applied Physics Letters, 55(3):221–222, jul 1989.

[57] Y. Ding, W. J. Fan, D. W. Xu, C. Z. Tong, Y. Liu, and L. J. Zhao. Low threshold

current density, low resistance oxide-confined VCSEL fabricated by a dielectric-free

approach. Applied Physics B, 98(4):773–778, nov 2009.

[58] Jean-Francois Seurin, Chuni L. Ghosh, Viktor Khalfin, Aleksandr Miglo, Guoyang

Xu, James D. Wynn, Prachi Pradhan, and L. Arthur D'Asaro. High-power high-

efficiency 2d VCSEL arrays. In Chun Lei and James K. Guenter, editors, Vertical-

Cavity Surface-Emitting Lasers XII. SPIE-Intl Soc Optical Eng, feb 2008.

[59] C. Gierl, T. Gruendl, P. Debernardi, K. Zogal, C. Grasse, H. A. Davani, G. Bhm,

S. Jatta, F. Kppers, P. Meißner, and M.-C. Amann. Surface micromachined tun-

able 155 µm-VCSEL with 102 nm continuous single-mode tuning. Optics Express,

19(18):17336, aug 2011.

[60] Kenichi Iga. Vertical-cavity surface-emitting laser (VCSEL). Proceedings of the

IEEE, 101(10):2229–2233, oct 2013.

Page 111: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Bibliography 98

[61] L.A. Coldren. Vertical cavity surface emitting lasers for integrated optoelectronics.

In 13th IEEE International Semiconductor Laser Conference. Institute of Electrical

and Electronics Engineers (IEEE).

[62] H. Kogelnik and C. V. Shank. STIMULATED EMISSION IN a PERIODIC

STRUCTURE. Applied Physics Letters, 18(4):152–154, feb 1971.

[63] M. Nakamura, A. Yariv, H.W. Yen, S. Somekh, and H. L. Garvin. Optically

pumped GaAs surface laser with corrugation feedback. Applied Physics Letters,

22(10):515–516, may 1973.

[64] CH Chang, T Earles, and Dan Botez. High cw power narrow-spectral width

(<1.5A) 980 nm broad-stripe distributed feedback diode lasers. Electronics Let-

ters, 36(11):954–955, 2000.

[65] Dan Botez, Thomas L Earles, and Luke J Mawst. Narrow spectral width high-

power distributed feedback semiconductor lasers, February 27 2001. US Patent

6,195,381.

[66] L.A. Wang and Y.H. Lo. DFB laser arrays for WDM applications. In LEOS '92

Conference Proceedings. Institute of Electrical and Electronics Engineers (IEEE).

[67] Wolfgang Zeller, Johannes Koeth, Michael Legge, Marc Fischer, Jochen Seufert,

and Ralph Werner. Widely tunable quantum-dash DFB laser diodes for multi-

species gas analysis. In Laser Applications to Chemical, Security and Environmental

Analysis. The Optical Society, 2008.

[68] Y. Shimose, T. Okamoto, A. Maruyama, M. Aizawa, and H. Nagai. Remote sensing

of methane gas by differential absorption measurement using a wavelength tunable

DFB LD. IEEE Photonics Technology Letters, 3(1):86–87, jan 1991.

Page 112: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Bibliography 99

[69] S. Sakano, T. Tsuchiya, M. Suzuki, S. Kitajima, and N. Chinone. Tunable DFB

laser with a striped thin-film heater. IEEE Photonics Technology Letters, 4(4):321–

323, apr 1992.

[70] T. Kurobe, T. Kimoto, K. Muranushi, Y. Nakagawa, H. Nasu, S. Yoshimi, M. Oike,

H. Kambayashi, T. Mukaihara, T. Nomura, and A. Kasukawa. High fibre coupled

output power 37 nm tunable laser module using matrix DFB laser. Electronics

Letters, 39(15):1125, 2003.

[71] Hiromi Oohashi, Hiroyuki Ishii, and Kazuo Kasaya. Widely tunable DFB laser

array (TLA). In COIN-NGNCON 2006 - The Joint International Conference on

Optical Internet and Next Generation Network. Institute of Electrical and Elec-

tronics Engineers (IEEE), jul 2006.

[72] Xiang He, Steven Benoit, S. R. Brueck, and Ron Kaspi. Widely tunable optically

pumped mid-IR DFB laser. In Conference on Lasers and Electro-Optics 2012. The

Optical Society, 2012.

[73] M. Kanskar, Y. He, J. Cai, C. Galstad, S.H. Macomber, E. Stiers, D. Botez, and

L.J. Mawst. 53% wallplug efficiency 975 nm distributed feedback broad area laser.

Electronics Letters, 42(25):1455, 2006.

[74] Andrew Shuh-Huei Liao and Shyh Wang. Semiconductor injection lasers with a

circular resonator. Applied Physics Letters, 36(10):801–803, may 1980.

[75] G. Griffel, J.H. Abeles, R.J. Menna, A.M. Braun, J.C. Connolly, and M. King.

Low-threshold InGaAsP ring lasers fabricated using bi-level dry etching. IEEE

Photonics Technology Letters, 12(2):146–148, feb 2000.

[76] C. Ji, M.H. Leary, and J.M. Ballantyne. Long-wavelength triangular ring laser.

IEEE Photonics Technology Letters, 9(11):1469–1471, nov 1997.

Page 113: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Bibliography 100

[77] S. Oku, M. Okayasu, and M. Ikeda. Low-threshold CW operation of square-shaped

semiconductor ring lasers (orbiter lasers). IEEE Photonics Technology Letters,

3(7):588–590, jul 1991.

[78] M. C. Shih, M. H. Hu, M. B. Freiler, M. Levy, R. Scarmozzino, R. M. Osgood,

I. W. Tao, and W. I. Wang. Fabrication of an InGaAs single quantum well circular

ring laser by direct laser patterning. Applied Physics Letters, 66(20):2608–2610,

may 1995.

[79] R. van Roijen, E. C. M. Pennings, M. J. N. van Stalen, T. van Dongen, B. H. Ver-

beek, and J. M. M. van der Heijden. Compact InP-based ring lasers employing mul-

timode interference couplers and combiners. Applied Physics Letters, 64(14):1753–

1755, apr 1994.

[80] M. Sorel, G. Giuliani, A. Scire, R. Miglierina, S. Donati, and P.J.R. Laybourn.

Operating regimes of gaas-algaas semiconductor ring lasers: experiment and model.

IEEE Journal of Quantum Electronics, 39(10):1187–1195, oct 2003.

[81] Xinlun Cai, Ying-Lung Daniel Ho, Gabor Mezosi, Zhuoran Wang, Marc Sorel, and

Siyuan Yu. Frequency-domain model of longitudinal mode interaction in semi-

conductor ring lasers. IEEE Journal of Quantum Electronics, 48(3):406–418, mar

2012.

[82] Payam Abolghasem, Junbo Han, Bhavin J. Bijlani, Arghavan Arjmand, and Amr S.

Helmy. Continuous-wave second harmonic generation in bragg reflection waveg-

uides. Optics Express, 17(11):9460, may 2009.

[83] Junbo Han, Payam Abolghasem, Bhavin J. Bijlani, and Amr S. Helmy. Continuous-

wave sum-frequency generation in AlGaAs bragg reflection waveguides. Optics

Letters, 34(23):3656, nov 2009.

Page 114: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Bibliography 101

[84] J. B. Han, D. P. Kang, P. Abolghasem, B. J. Bijlani, and A. S. Helmy. Pulsed-

and continuous-wave difference-frequency generation in AlGaAs bragg reflection

waveguides. Journal of the Optical Society of America B, 27(12):2488, nov 2010.

[85] Bhavin J. Bijlani and Amr S. Helmy. Bragg reflection waveguide diode lasers.

Optics Letters, 34(23):3734, nov 2009.

[86] A. S. Helmy. Phase matching using bragg reflection waveguides for monolithic

nonlinear optics applications. Optics Express, 14(3):1243, 2006.

[87] Cunzhu Tong, Bhavin J. Bijlani, Sanaz Alali, and Amr S. Helmy. Characteristics

of edge-emitting bragg reflection waveguide lasers. IEEE Journal of Quantum

Electronics, 46(11):1605–1610, nov 2010.

[88] Brian R. West and Amr S. Helmy. Properties of the quarter-wave bragg reflection

waveguide: theory. Journal of the Optical Society of America B, 23(6):1207, jun

2006.

[89] A. Fiore, S. Janz, L. Delobel, P. van der Meer, P. Bravetti, V. Berger, E. Rosencher,

and J. Nagle. Second-harmonic generation at λ=1.6 µm in AlGaAs/al2o3 waveg-

uides using birefringence phase matching. Applied Physics Letters, 72(23):2942–

2944, jun 1998.

[90] K. Zeaiter, D. C. Hutchings, R. M. Gwilliam, K. Moutzouris, S. Venugopal Rao,

and M. Ebrahimzadeh. Quasi-phase-matched second-harmonic generation in a

GaAs/AlAs superlattice waveguide by ion-implantation-induced intermixing. Op-

tics Letters, 28(11):911, jun 2003.

[91] K. Moutzouris, S. Venugopal Rao, M. Ebrahimzadeh, A. De Rossi, M. Calligaro,

V. Ortiz, and V. Berger. Second-harmonic generation through optimized modal

phase matching in semiconductor waveguides. Applied Physics Letters, 83(4):620–

622, jul 2003.

Page 115: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Bibliography 102

[92] V. Berger, A. Fiore, E. Rosencher, P. Bravetti, and J. Nagle. Nature,

391(6666):463–466, jan 1998.

[93] Wei Liang, Yong Xu, John M. Choi, and Amnon Yariv. Engineering trans-

verse bragg resonance waveguides for large modal volume lasers. Optics Letters,

28(21):2079, nov 2003.

[94] Tsing-Hua Her. Gain-guiding in transverse grating waveguides for large modal area

laser amplifiers. Optics Express, 16(10):7197, may 2008.

[95] Amnon Yariv, Yong Xu, and Shayan Mookherjea. Transverse bragg resonance laser

amplifier. Optics Letters, 28(3):176, feb 2003.

[96] Lin Zhu, Axel Scherer, and Amnon Yariv. Modal gain analysis of transverse bragg

resonance waveguide lasers with and without transverse defects. IEEE Journal of

Quantum Electronics, 43(10):934–940, oct 2007.

[97] A. Mock, Ling Lu, Eui Hyun Hwang, J. O'Brien, and P.D. Dapkus. Modal analysis

of photonic crystal double-heterostructure laser cavities. IEEE Journal of Selected

Topics in Quantum Electronics, 15(3):892–900, 2009.

[98] J. B. Shellan, A. Cho, W. Ng, P. Yeh, and A. Yariv. Transverse bragg-reflector

injection lasers. Optics Letters, 2(5):136, may 1978.

[99] Bhavin J. Bijlani, Payam Abolghasem, and Amr S. Helmy. Intracavity paramet-

ric fluorescence in diode lasers. In CLEO:2011 - Laser Applications to Photonic

Applications. OSA, 2011.

[100] Fabien Boitier, Adeline Orieux, Claire Autebert, Aristide Lemaıtre, Elisabeth Ga-

lopin, Christophe Manquest, Carlo Sirtori, Ivan Favero, Giuseppe Leo, and Sara

Ducci. Electrically injected photon-pair source at room temperature. Physical

Review Letters, 112(18), may 2014.

Page 116: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Bibliography 103

[101] Cunzhu Tong, Bhavin J. Bijlani, L. J. Zhao, Sanaz Alali, Q. Han, and Amr S.

Helmy. Mode selectivity in bragg reflection waveguide lasers. IEEE Photonics

Technology Letters, 23(14):1025–1027, jul 2011.

[102] Photolithography. In Introduction to Semiconductor Manufacturing Technology,

Second Edition. Society of Photo-Optical Instrumentation Engineers.

[103] S. Y. Chou, P. R. Krauss, and P. J. Renstrom. Imprint lithography with 25-

nanometer resolution. Science, 272(5258):85–87, apr 1996.

[104] F.S. Ozdemir. Electron beam lithography. In 16th Design Automation Conference.

IEEE, 1979.

[105] M. Hatzakis. Electron resists for microcircuit and mask production. Journal of

The Electrochemical Society, 116(7):1033, 1969.

[106] D. L. Olynick, B. Cord, A. Schipotinin, D. F. Ogletree, and P. J. Schuck. Electron-

beam exposure mechanisms in hydrogen silsesquioxane investigated by vibrational

spectroscopy and in situ electron-beam-induced desorption. Journal of Vacuum Sci-

ence & Technology B, Nanotechnology and Microelectronics: Materials, Processing,

Measurement, and Phenomena, 28(3):581–587, may 2010.

[107] The proximity effect in electron beam lithography. Microelectronics Reliability,

23(3):604, jan 1983.

[108] Lau Kien Mun, Dominique Drouin, Eric Lavallee, and Jacques Beauvais. The

impact of charging on low-energy electron beam lithography. Microscopy and Mi-

croanalysis, 10(06):804–809, dec 2004.

[109] Mohammad Ali Mohammad, Mustafa Muhammad, Steven K. Dew, and Maria

Stepanova. Fundamentals of electron beam exposure and development. In Nanofab-

rication, pages 11–41. Springer Vienna, oct 2011.

Page 117: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Bibliography 104

[110] Toshio Nishida, Masaya Notomi, Ryuzo Iga, and Toshiaki Tamamura. Quantum

wire fabrication by e-beam elithography using high-resolution and high-sensitivity

e-beam resist ZEP-520. Japanese Journal of Applied Physics, 31(Part 1, No.

12B):4508–4514, dec 1992.

[111] H. Elsner, H.-G. Meyer, A. Voigt, and G. Grtzner. Evaluation of ma-n 2400 series

DUV photoresist for electron beam exposure. Microelectronic Engineering, 46(1-

4):389–392, may 1999.

[112] Wet-chemical etching methods. In Etching in Microsystem Technology, pages 29–

110. Wiley-VCH Verlag GmbH.

[113] Dry-etching methods. In Etching in Microsystem Technology, pages 111–171.

Wiley-VCH Verlag GmbH.

[114] Physical etching. In Encyclopedia of Microfluidics and Nanofluidics, pages 1650–

1650. Springer US.

[115] Chemical dry etching. In Encyclopedia of Nanotechnology, pages 513–513. Springer

Netherlands, 2016.

[116] Sami Franssila and Lauri Sainiemi. Reactive ion etching (RIE). In Encyclopedia of

Microfluidics and Nanofluidics, pages 1772–1781. Springer US.

[117] C. Youtsey and I. Adesida. Plasma processing of III-v materials. In Handbook of

Advanced Plasma Processing Techniques, pages 459–505. Springer Berlin Heidel-

berg, 2000.

[118] N. R. Rueger, M. F. Doemling, M. Schaepkens, J. J. Beulens, T. E. F. M. Standaert,

and G. S. Oehrlein. Selective etching of SiO2 over polycrystalline silicon using CHF3

in an inductively coupled plasma reactor. Journal of Vacuum Science & Technology

A: Vacuum, Surfaces, and Films, 17(5):2492–2502, sep 1999.

Page 118: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Bibliography 105

[119] Sambhu Agarwala. High-density inductively coupled plasma etching of

GaAs/AlGaAs in BCl3/Cl2/Ar: A study using a mixture design experiment. Jour-

nal of Vacuum Science & Technology B: Microelectronics and Nanometer Struc-

tures, 16(2):511, mar 1998.

[120] Chaochin Su. Identification of the volatile reaction products of the Cl2 + GaAs

etching reaction. Journal of Vacuum Science & Technology B: Microelectronics and

Nanometer Structures, 11(4):1222, jul 1993.

[121] Stephen J. Pearton. Wet and dry etching of compound semiconductors. In Hand-

book of Compound Semiconductors, pages 370–441. Elsevier, 1995.

[122] K Choy. Chemical vapour deposition of coatings. Progress in Materials Science,

48(2):57–170, 2003.

[123] Hugh O. Pierson. FUNDAMENTALS OF CHEMICAL VAPOR DEPOSITION.

In Handbook of Chemical Vapor Deposition, pages 17–50. Elsevier, 1992.

[124] Xuan Liu, Jie Ge, Yi Yang, Yixu Song, and Tianling Ren. Feature scale simu-

lation of PECVD of SiO2in SiH4/n2o mixture. Plasma Science and Technology,

16(4):385–389, apr 2014.

[125] Zhongping Wang and Zengming Zhang. Electron beam evaporation deposition. In

Advanced Nano Deposition Methods, pages 33–58. Wiley-VCH Verlag GmbH & Co.

KGaA, sep 2016.

[126] Zep520a. ZEON CORPORATION, 2010.

[127] C. Gatzert, A. W. Blakers, Prakash N. K. Deenapanray, D. Macdonald, and F. D.

Auret. Investigation of reactive ion etching of dielectrics and si in CHF3o2 or

CHF3ar for photovoltaic applications. Journal of Vacuum Science & Technology

A: Vacuum, Surfaces, and Films, 24(5):1857–1865, sep 2006.

Page 119: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Bibliography 106

[128] AG Baca, F Ren, JC Zolper, RD Briggs, and SJ Pearton. A survey of ohmic

contacts to iii-v compound semiconductors. Thin Solid Films, 308:599–606, 1997.

[129] T. C. Shen. Recent developments in ohmic contacts for III-V compound semi-

conductors. Journal of Vacuum Science & Technology B: Microelectronics and

Nanometer Structures, 10(5):2113, sep 1992.

[130] Yih-Cheng Shih, Masanori Murakami, E. L. Wilkie, and A. C. Callegari. Effects

of interfacial microstructure on uniformity and thermal stability of AuNiGe ohmic

contact ton-type GaAs. Journal of Applied Physics, 62(2):582–590, jul 1987.

[131] Ludovic Marigo-Lombart, Jean-Baptiste Doucet, Aurelie Lecestre, Benjamin Reig,

Bernard Rousset, Hugo Thienpont, Krassimir Panajotov, and Guilhem Almuneau.

Self-aligned BCB planarization method for high-frequency signal injection in a

VCSEL with an integrated modulator. In Krassimir Panajotov, Marc Sciamanna,

Angel Valle, and Rainer Michalzik, editors, Semiconductor Lasers and Laser Dy-

namics VII. SPIE, apr 2016.

[132] A.N. AL-Omari and K.L. Lear. Polyimide-planarized vertical-cavity surface-

emitting lasers with 17.0-GHz bandwidth. IEEE Photonics Technology Letters,

16(4):969–971, apr 2004.

[133] Jun-Fei Zheng, Peter J. Hanberg, Hilmi V. Demir, Vijit A. Sabnis, Onur Fidaner,

Jr. James S. Harris, and David A. B. Miller. Novel planarization and passivation

in the integration of III-v semiconductor devices. In Louay A. Eldada, editor,

Optoelectronic Integrated Circuits VI. SPIE, jun 2004.

[134] Hyunah Kwon, Seung Hee Lee, and Jong Kyu Kim. Three-dimensional metal-oxide

nanohelix arrays fabricated by oblique angle deposition: Fabrication, properties,

and applications. Nanoscale Research Letters, 10(1), sep 2015.

Page 120: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Bibliography 107

[135] Angel Barranco, Ana Borras, Agustin R. Gonzalez-Elipe, and Alberto Palmero.

Perspectives on oblique angle deposition of thin films: From fundamentals to de-

vices. Progress in Materials Science, 76:59–153, mar 2016.

[136] W Shockley. Research and investigation of inverse epitaxial uhf power transistors.

Report No Al-TOR-64-207 Air Force At. Lab. Wright-Patterson Air Force Base

Ohio, 1964.

[137] Dieter K Schroder. Semiconductor material and device characterization. John

Wiley & Sons, 2006.

[138] C. Hedlund, H.-O. Blom, and S. Berg. Microloading effect in reactive ion etch-

ing. Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films,

12(4):1962–1965, jul 1994.

[139] Tyll Hertsens. Measuring diode laser characteristics: diode lasers approach ubiq-

uity, but they still can be frustrating to work with. Lasers & Optronics, 8(2):37–42,

1989.

[140] Chih-Tsang Hung, Shen-Che Huang, and Tien-Chang Lu. Effect of passivation

layers on characteristics of AlGaInP ridge waveguide laser diodes. Optics & Laser

Technology, 59:110–115, jul 2014.

[141] C. Fiebig, G. Blume, M. Uebernickel, D. Feise, C. Kaspari, K. Paschke, J. Fricke,

H. Wenzel, and G. Erbert. High-power DBR-tapered laser at 980 nm for single-

path second harmonic generation. IEEE Journal of Selected Topics in Quantum

Electronics, 15(3):978–983, 2009.

[142] Alexander Knitsch, Martin Traub, Karsten Rotter, Dieter Hoffmann, Peter Loosen,

and Reinhart Poprawe. Characterization of tapered diode laser bars for the use in

high-power diode laser systems. In Mark S. Zediker, editor, High-Power Diode

Laser Technology and Applications II. SPIE, jun 2004.

Page 121: Improving the Fabrication of Semiconductor Bragg Lasers · Improving the Fabrication of Semiconductor Bragg Lasers Eric Ping Chun Chen Master of Applied Science Graduate Department

Bibliography 108

[143] Bernd Koehler, Jens Biesenbach, Thomas Brand, Matthias Haag, Sandra Huke,

Axel Noeske, Gabriele Seibold, Martin Behringer, and Johann Luft. High-

brightness high-power kW system with tapered diode laser bars. In Mark S. Zediker,

editor, High-Power Diode Laser Technology and Applications III. SPIE, mar 2005.

[144] K.-H. Hasler, B. Sumpf, P. Adamiec, F. Bugge, J. Fricke, P. Ressel, H. Wenzel,

G. Erbert, and G. Trankle. 5-w DBR tapered lasers emitting at 1060 nm with

a narrow spectral linewidth and a nearly diffraction-limited beam quality. IEEE

Photonics Technology Letters, 20(19):1648–1650, oct 2008.

[145] YanFang Li, Jian Wang, Ning Yang, Junqi Liu, Tao Wang, Fengqi Liu, Zhanguo

Wang, Weidong Chu, and Suqing Duan. The output power and beam divergence be-

haviors of tapered terahertz quantum cascade lasers. Optics Express, 21(13):15998,

jun 2013.

[146] D. Masanotti, F. Causa, and J. Sarma. High brightness, index-guided parabolic

bow-tie laser diodes. IEE Proceedings - Optoelectronics, 151(2):123, 2004.

[147] W. K. Burns, A. F. Milton, and A. B. Lee. Optical waveguide parabolic coupling

horns. Applied Physics Letters, 30(1):28–30, jan 1977.

[148] J. N. Walpole. Semiconductor amplifiers and lasers with tapered gain regions.

Optical and Quantum Electronics, 28(6):623–645, jun 1996.