GRIP: A Graph Neural Network Accelerator Architectureaccelerator architecture designed for...

14
GRIP: A Graph Neural Network Accelerator Architecture Kevin Kiningham * , Christopher R, Philip Levis Stanford University * [email protected] Abstract—We present GRIP, a graph neural network accelera- tor architecture designed for low-latency inference. Accelerating GNNs is challenging because they combine two distinct types of computation: arithmetic-intensive vertex-centric operations and memory-intensive edge-centric operations. GRIP splits GNN infer- ence into a fixed set of edge- and vertex-centric execution phases that can be implemented in hardware. We then specialize each unit for the unique computational structure found in each phase. For vertex-centric phases, GRIP uses a high performance matrix multiply engine coupled with a dedicated memory subsystem for weights to improve reuse. For edge-centric phases, GRIP use multiple parallel prefetch and reduction engines to alleviate the irregularity in memory accesses. Finally, GRIP supports several GNN optimizations, including a novel optimization called vertex- tiling which increases the reuse of weight data. We evaluate GRIP by performing synthesis and place and route for a 28 nm implementation capable of executing inference for several widely-used GNN models (GCN, GraphSAGE, G- GCN, and GIN). Across several benchmark graphs, it reduces 99th percentile latency by a geometric mean of 17× and 23× compared to a CPU and GPU baseline, respectively, while drawing only 5W. Index Terms—Deep Learning; Hardware Acceleration; Algorithm-Hardware co-Design; ASIC; I. I NTRODUCTION Traditional deep neural networks (DNNs) rely on regularly structured inputs (e.g. vectors, images, or sequences) making them difficult to use in domains where data is naturally irregular (e.g. user connections on social media). Graph neural networks (GNNs) tackle this limitation by extending DNNs to allow arbitrarily structured graph-valued inputs, where feature vectors are associated with the edges and vertices of a graph 1 . GNNs have found significant success in a range of practical tasks, including surfacing related content on social media [46], recommending meals on delivery platforms [24], and improving circuit testability for EDA [32]. GNNs combine two distinct types of operations [17], [31]: vertex-centric, which are associated with graph vertices, and edge-centric, which are associated with edges. Vertex-centric operations are computationally regular and primarily consist of multiplying vertex feature vectors by large weight matrices. These weights are shared across all vertices, leading to significant opportunities for data reuse. Edge-centric operations are similar to those found in graph analytics (e.g. neighborhood reduction [42]). Their computational structure depends on the 1 Following the convention in prior work [21], for clarity we call a GNN’s input a graph and the GNN itself a network. often sparse and irregular structure of the input graph. This results in many random memory accesses and limited data reuse, but also requires relatively little computation. The combination of these two types of computation makes GNN inference inefficient on existing architectures. As a result, GNNs have much higher inference latency than other neural networks, limiting them to applications where inference can be pre-computed offline [46]. Most DNN accelerators (e.g. the TPU [25]) are optimized for dense, regular computation, making edge operations hard to implement efficiently [3]. Graph analytics accelerators (e.g Graphicionado [20]) are designed for workloads that require little computation per-vertex and have difficulty exploiting data reuse in vertex-centric operations. Prior work has demonstrated inference on CPUs and GPUs is limited by architectural issues, such as cache and memory bandwidth bottlenecks [19], [45]. This paper proposes GRIP (GRaph Inference Processor), an accelerator architecture designed for low-latency GNN infer- ence. GRIP’s programming model is inspired by GReTA [27], a decomposition of GNN inference into a fixed set of edge- and vertex-centric phases. GRIP implements each phase with separate specialized on-chip memory and execution units. For example, GRIP alleviates irregularity in the edge-accumulate phase by using multiple parallel prefetch engines to load data. This allows GRIP to support a broader class of GNNs than prior work, including emerging models that perform complex computation per-edge. Finally, GRIP includes hardware support for several optimizations: caching partitions of feature data, inter-phase pipelining, and preloading weights between layers. We also introduce a novel GNN optimization called vertex- tiling that substantially improves latency by increasing the reuse of weight values during inference. A. Contributions This paper makes the following contributions: 1) GRIP, an accelerator architecture for low-latency GNN inference. GRIP is efficient across a wide range of models and has numerous hardware optimizations to improve inference latency. 2) A novel optimization for GNN inference called vertex- tiling, which improves performance by increasing reuse of weights. 3) A detailed description of a 28 nm implementation of GRIP capable of executing four representative GNNs (GCN, GraphSAGE, G-GCN, and GIN). Evaluated across arXiv:2007.13828v2 [cs.AR] 30 Jul 2020

Transcript of GRIP: A Graph Neural Network Accelerator Architectureaccelerator architecture designed for...

Page 1: GRIP: A Graph Neural Network Accelerator Architectureaccelerator architecture designed for low-latency GNN infer-ence. GRIP’s programming model is inspired by GReTA [27], a decomposition

GRIP: A Graph Neural Network AcceleratorArchitecture

Kevin Kiningham∗, Christopher R, Philip LevisStanford University

[email protected]

Abstract—We present GRIP, a graph neural network accelera-tor architecture designed for low-latency inference. AcceleratingGNNs is challenging because they combine two distinct types ofcomputation: arithmetic-intensive vertex-centric operations andmemory-intensive edge-centric operations. GRIP splits GNN infer-ence into a fixed set of edge- and vertex-centric execution phasesthat can be implemented in hardware. We then specialize eachunit for the unique computational structure found in each phase.For vertex-centric phases, GRIP uses a high performance matrixmultiply engine coupled with a dedicated memory subsystem forweights to improve reuse. For edge-centric phases, GRIP usemultiple parallel prefetch and reduction engines to alleviate theirregularity in memory accesses. Finally, GRIP supports severalGNN optimizations, including a novel optimization called vertex-tiling which increases the reuse of weight data.

We evaluate GRIP by performing synthesis and place androute for a 28 nm implementation capable of executing inferencefor several widely-used GNN models (GCN, GraphSAGE, G-GCN, and GIN). Across several benchmark graphs, it reduces99th percentile latency by a geometric mean of 17× and 23×compared to a CPU and GPU baseline, respectively, whiledrawing only 5W.

Index Terms—Deep Learning; Hardware Acceleration;Algorithm-Hardware co-Design; ASIC;

I. INTRODUCTION

Traditional deep neural networks (DNNs) rely on regularlystructured inputs (e.g. vectors, images, or sequences) makingthem difficult to use in domains where data is naturallyirregular (e.g. user connections on social media). Graph neuralnetworks (GNNs) tackle this limitation by extending DNNs toallow arbitrarily structured graph-valued inputs, where featurevectors are associated with the edges and vertices of a graph1.GNNs have found significant success in a range of practicaltasks, including surfacing related content on social media [46],recommending meals on delivery platforms [24], and improvingcircuit testability for EDA [32].

GNNs combine two distinct types of operations [17], [31]:vertex-centric, which are associated with graph vertices, andedge-centric, which are associated with edges. Vertex-centricoperations are computationally regular and primarily consistof multiplying vertex feature vectors by large weight matrices.These weights are shared across all vertices, leading tosignificant opportunities for data reuse. Edge-centric operationsare similar to those found in graph analytics (e.g. neighborhoodreduction [42]). Their computational structure depends on the

1 Following the convention in prior work [21], for clarity we call a GNN’sinput a graph and the GNN itself a network.

often sparse and irregular structure of the input graph. Thisresults in many random memory accesses and limited datareuse, but also requires relatively little computation.

The combination of these two types of computation makesGNN inference inefficient on existing architectures. As a result,GNNs have much higher inference latency than other neuralnetworks, limiting them to applications where inference canbe pre-computed offline [46]. Most DNN accelerators (e.g.the TPU [25]) are optimized for dense, regular computation,making edge operations hard to implement efficiently [3]. Graphanalytics accelerators (e.g Graphicionado [20]) are designedfor workloads that require little computation per-vertex andhave difficulty exploiting data reuse in vertex-centric operations.Prior work has demonstrated inference on CPUs and GPUsis limited by architectural issues, such as cache and memorybandwidth bottlenecks [19], [45].

This paper proposes GRIP (GRaph Inference Processor), anaccelerator architecture designed for low-latency GNN infer-ence. GRIP’s programming model is inspired by GReTA [27],a decomposition of GNN inference into a fixed set of edge-and vertex-centric phases. GRIP implements each phase withseparate specialized on-chip memory and execution units. Forexample, GRIP alleviates irregularity in the edge-accumulatephase by using multiple parallel prefetch engines to load data.This allows GRIP to support a broader class of GNNs thanprior work, including emerging models that perform complexcomputation per-edge. Finally, GRIP includes hardware supportfor several optimizations: caching partitions of feature data,inter-phase pipelining, and preloading weights between layers.We also introduce a novel GNN optimization called vertex-tiling that substantially improves latency by increasing thereuse of weight values during inference.

A. Contributions

This paper makes the following contributions:1) GRIP, an accelerator architecture for low-latency GNN

inference. GRIP is efficient across a wide range of modelsand has numerous hardware optimizations to improveinference latency.

2) A novel optimization for GNN inference called vertex-tiling, which improves performance by increasing reuseof weights.

3) A detailed description of a 28 nm implementation ofGRIP capable of executing four representative GNNs(GCN, GraphSAGE, G-GCN, and GIN). Evaluated across

arX

iv:2

007.

1382

8v2

[cs

.AR

] 3

0 Ju

l 202

0

Page 2: GRIP: A Graph Neural Network Accelerator Architectureaccelerator architecture designed for low-latency GNN infer-ence. GRIP’s programming model is inspired by GReTA [27], a decomposition

BA

DE F

C

(a) Input graph.B

A C ED

A B D E F

Layer 2

Layer 1

(b) Nodeflow.

Message Passing Layer

2. Aggregate1. Send

BD B

ACDE

MPL

A

EF

3. Update

SharedWeights

(c) Inference dataflow.

Fig. 1: An example of performing GCN inference on vertex Bwith two layers. The nodeflow (b) describes the propagationof features during inference (c).

several benchmark graphs, our implementation reduces99th percentile latency by a geometric mean of 17× and23× compared to an Intel Xeon CPU and Nvidia P100GPU baseline, respectively.

II. BACKGROUND AND MOTIVATION

A. Graph Neural Networks

GNNs [4], [43] are a class of DNN that operate on graph-valued data. Unlike traditional DNNs, GNNs directly takeadvantage of graph structure during learning and inference.For example, consider the task of classifying web-pages bytopic. A pure content approach (e.g. a classic recurrent neuralnetwork) considers only features derived from a page’s content.However, a significant amount of information is stored in thestructure of links between pages. By modeling these links asa graph, a GNN can natively leverage both page content andlink structure. GNN-based methods have achieved state ofthe art performance on a diverse set of graph-related tasks,including link prediction [50], vertex classification [46], andclustering [47].

Message-Passing Layer. Modern GNNs are typically com-posed of multiple message-passing layers [17], shown in Alg. 1.The layer takes as input a graph G consisting of a set of verticesV and edges E. Each vertex and edge is assigned a featurevector hv and h(u,v) respectively. Computation is split intothree operations:• Send computes a message vector mu,v for each edge.• Aggregate reduces incoming messages for each vertex to

a vector av . The neighborhood function N(v) determines

Algorithm 1 Message Passing Layer Forward Pass

Input: Graph G = (V, E); Vertex and edge features hv,h(u,v)

Output: Updated vertex features zv1: for (u, v) in E do2: mu,v ← Send(hv, hu, h(u,v))

3: for v in V do4: av ← Aggregate({mu,v | u ∈ N(v)})5: zv ← Update(hv, av)

which messages are considered, typically using a fixedsize random sample.

• Update combines each vertex’s current value with theoutput of aggregation to produce an updated vector zv .

By iteratively applying K of these layers, the final state foreach vertex captures information about the structure of itsK-hop neighborhood.

Pooling and Readout. Two other layer types are also usedin some GNNs. Pooling defines a method of coarsening a graphby combining the features of clusters of vertices. Readout is aspecial case of pooling which uses a single graph-wide clusterto produce a representation for an entire graph (e.g. for graphclassification). In this paper, we treat both layers as slightlymodified versions of message-passing, where edges connectvertices to clusters rather than other vertices.

Nodeflow. A nodeflow [22] is a bipartite data structurethat describes how features are propagated during message-passing. It is typically generated during a preprocessing stepbefore inference, but can also be created on-demand (e.g. fordynamic graphs). The nodeflow is most useful when performinginference on a subset of the graph since it makes it easy todetermine which edges and vertices are required to updatea specific vertex. It can also be used to separate samplingfrom inference by precomputing the neighborhood functionand encoding the result directly in the nodeflow.

In this paper, we denote the nodeflow for a particular layeras the three-tuple (U, V,E), where U is the set of vertices readduring inference, V is the set of vertices updated, and E is aset of edges connecting vertices in U to V . Fig. 1 shows anexample of using the nodeflow to compute inference in a twolayer GNN.

GCN. We use the Graph Convolutional Network [28] (GCN)as a concrete running example of a GNN model. GCN usesmultiple message-passing layers with the following send,aggregate, and update operations

mu,v ← hu

av ← mean({mu,v | u ∈ N(v)})zv ← ReLU(Wav)

where W is a trainable weight matrix. We can rewrite this touse sparse-dense matrix multiplication (SpMM)

Z ← ReLU(AHW ) (1)

2

Page 3: GRIP: A Graph Neural Network Accelerator Architectureaccelerator architecture designed for low-latency GNN infer-ence. GRIP’s programming model is inspired by GReTA [27], a decomposition

where A is a sparse matrix derived from the nodeflow and Hand Z are dense matrices formed from the set of input andoutput features respectively. This allows GCN inference to beimplemented using operations from highly optimized sparsematrix libraries, such as Intel MKL [23] or cuSPARSE [35].

B. Performance Challenges of GNNs

To demonstrate the performance challenges of GNNs inpractice, we implement 2-layer GCN using the SpMM formin Eq. 1. Our implementation uses Tensorflow compiled withIntel MLK run on a single socket of an Intel Xeon E5-2690v4.In Fig. 2, we plot measured performance verses arithmeticintensity for each vertex in the Pokec dataset. Arithmeticintensity depends on the number of unique neighbors thatmust be read during inference, which is determined by thelocal graph structure of each vertex.

Fig. 2: CPU performance of GCN inference for vertices inthe Pokec [29] dataset. Bottlenecks in cache bandwidth resultin a significant gap between measured performance and theroofline upper bound.

In this dataset, inference performance is theoretically bottle-necked by off-chip memory bandwidth for all vertices. However,there is a significant gap between the theoretical upper boundand the actual measured performance at higher levels ofarithmetic intensity. Profiling shows the primary bottleneckis last level cache bandwidth, a result consistent with prioranalysis of GPU performance [19]. In our experiment, thehighest arithmetic intensities occur when a vertex appearsin multiple neighborhoods and its feature vector can bereused. However, if multiple cores are reading or writing avertex in parallel, this also results in higher utilization ofcache bandwidth. Additionally, features must compete withlarge weight values that also occupy the cache and consumebandwidth during the vertex-centric Update operation.

Opportunities for Acceleration. While GNN inference per-formance may be limited on existing hardware, the difficultiesdescribed in this section can be overcome with a customarchitecture. In particular, we propose using separate specializedmemory and execution units for each edge- and vertex-centricoperation. To specialize for vertex-centric operations, we use adedicated high performance matrix-multiplication unit. Weightsare stored on-chip in dedicated memory with a level of cachingto improve reuse. For edge-centric operations, we prefetch datafor multiple edges in parallel and specialize the on-chip featurememory to enable fast gather and reduction operations. Finally,since the nodeflow is known statically, we can also improve off-chip access efficiency by scheduling bulk transfers of feature

data rather than loading on demand during execution. Takentogether, this gives a significant opportunity for improvingGNN inference performance.

III. RELATED WORK

DNN Accelerators. A significant number of custom neuralnetwork accelerates have been developed, mostly focused ondense operations [8], [9], [10], [13], [14], [15], [16], [25],[30], [41], [49]. However, edge-centric operations are difficultto implement efficiently on these architectures [3], which aremuch more computationally irregular than traditional DNNs.GRIP natively supports edge-centric operations by using agraph-processing based programming model (Sec. IV) and bya combination of specialized memory for edge accesses andsoftware techniques. In Sec. VIII-F we estimate GRIP to be2.4× faster than a comparable TPU-like accelerator modifiedspecifically to improve GNN inference performance.

GCN Accelerators. HyGCN [45] and GraphACT [48]are two accelerators designed to for graph convolutionalnetworks, a subclass of graph neural networks. Like GRIP,these accelerators use separate edge- and vertex-centric units forGNN computation. GRIP builds on these designs by handlinga much more general set of GNNs that includes models thatuse computation associated with edges. This is important formany emerging state-of-the-art GNNs, such as Graph AttentionNetworks [40]. Additionally, GRIP’s support for vertex-tilingreduces the amount of weight bandwidth required during vertex-oriented operations. In Sec. VIII-F we estimate this improvesperformance by 4.5× compared to HyGCN.

Graph Analytics Accelerators. Specialized acceleratorshave also been proposed for graph analytics workloads [34],[36], [37]. However, these workloads require relatively littlecomputation per-vertex and typically use scalars rather thanlarge feature vectors. Thus, the computation and memory accesspatterns are very different. In Sec. VIII-F, we estimate GRIPto be 8.1× faster than the approach of Graphicionado [20].

GNN Optimizations. Many optimizations have been pro-posed to improve GNN performance. Common techniquesinclude scheduling computation to reducing the impact ofsparsity [3], [11], [31], improved sampling [7], or eliminatingredundant computation [48]. These techniques are compatiblewith GRIP and can be used for additional performance.

IV. PROGRAMMING MODEL

GRIP’s programming model is based on GReTA [27], agraph-processing abstraction specialized for implementingGNNs. GReTA decomposes GNN layers into four stateless user-defined functions (UDFs): gather, reduce, transform,and activate. GRIP invokes each UDF in one of threeexecution phases: edge-accumulate, vertex-accumulate, andvertex-update. GRIP also allows programs to be composed byusing the result of one program as the features or accumulatorin another. This flexibility allows a wide range of GNN modelsto be implemented.

Data Model. UDFs are restricted in the types of data theycan access to in order to simplify hardware implementation.

3

Page 4: GRIP: A Graph Neural Network Accelerator Architectureaccelerator architecture designed for low-latency GNN infer-ence. GRIP’s programming model is inspired by GReTA [27], a decomposition

Algorithm 2 GRIP Program Execution Semantics

Input: Layer nodeflow (U, V,E); Vertex data hu and hv;Edge data h(u,v); Accumulators ev and av; Weights andbiases W

Output: Updated vertex data zv1: /* Edge-Accumulate Phase */2: for (u, v) in E do3: ev = reduce(ev , gather(hu, hv , h(u,v)))

4: /* Vertex-Accumulate Phase */5: for v in V do6: av = transform(av , ev , W )7: /* Vertex-Update Phase */8: for v in V do9: zv = activate(av)

GRIP programs use four types of data: (1) A nodeflow NF =(U, V,E) encodes computational structure by defining thevertices and edges to read and update. (2) Feature vectors hu,hv , and h(u,v) associated with nodeflow input vertices, outputvertices, and edges respectively. (3) A set of constant layerweights W . (4) Edge-accumulator ev and vertex-accumulatorav associated with each output vertex.

Execution Semantics. UDFs are executed in three phases:1) Edge-accumulate iterates over nodeflow edges and in-

vokes gather and reduce. Gather reads featuresassociated with an edge to produce a message value.Reduce accumulates messages sharing an output vertexinto ev . This results in a single value per output vertex.

2) Vertex-accumulate iterates over each output vertex andcombines ev with the previous accumulator state av

using transform. Transform is the only UDFwith access to layer weights and is usually the mostcomputationally expensive operation in a layer (e.g.matrix multiplication).

3) Vertex-update again iterates over each output vertexand applies activate to av. The activate UDFtypically implements the non-linear operations requiredin a layer (e.g. the activation function). This produces afinal updated value for each vertex z′v .

Alg. 2 shows the full execution semantics of a GRIP program.

A. Layer Implementation

The decomposition used by GRIP is expressive enough toallow implementing a wide variety of GNNs. Implementing aparticular layer is typically straightforward since each phasenaturally maps to the operations of the massage-passing layerintroduced in Sec. II. However, some complex models mayrequire a layer to be split into multiple programs. This isespecially true for models that require significant computationper-edge. For example, consider the following modified GCNSend operation

mu,v ←W0hu (2)

This cannot be mapped directly to gather and reducesince they do not have access to the layer weights. Instead, we

Edge-Accumulate Vertex-Accumulate Vertex-Update

(a) (b)

Fig. 3: Modifying the GCN Send operation (Eq. 2) requiressplitting the layer into two sequential programs (a) and (b).The dashed box in (a) indicates a phase with no computation.

(a) GraphSAGE [21]

(b) GIN [44]

(c) G-GCN [2], [5], [33]

Fig. 4: GRIP implementation of several GNN models. Plus-boxes indicate the output of one program is used as the edgeor vertex-accumulator of another. Phases with no associatedcomputation are omitted.

implement this layer by splitting it into two GRIP programs asshown in Fig. 3. Note that splitting a layer may result in eachprogram iterating over a different nodeflow. For example, theprogram in Fig. 3a iterates over an identity nodeflow whereall vertices are only self-connected. In Fig. 4, we demonstratethe flexibility of this approach by showing the implementationof a variety of different GNN models.

V. THE GRIP ARCHITECTURE

GRIP is an accelerator architecture for low-latency GNNinference. Rather than designing around a specific GNN, GRIPallows users to customize the architecture by implementingfour processing elements (PEs) corresponding to each UDFof GReTA. This allows GRIP to be used to accelerate a widevariety of models. In this section, we describe an overview ofGRIP and the microarchitecture of each execution unit. A highlevel overview of GRIP is shown in Fig. 5.

A. Overview

Control. GRIP is controlled by a host system that sendscommands to execute different operations or transfer data. Thecontrol unit dequeues each command in-order and issues themasynchronously to individual execution units or the memorycontroller. Additionally, almost all buffers use double-bufferingto allow overlapping the execution of different operations withmoving data between buffers or loading from off-chip. A barriercommand is used to enforce dependencies by preventing new

4

Page 5: GRIP: A Graph Neural Network Accelerator Architectureaccelerator architecture designed for low-latency GNN infer-ence. GRIP’s programming model is inspired by GReTA [27], a decomposition

Host Control

SRAM

AccumulatorControl

UnitCmd. FIFOStatus

Off-Chip

DRAM

NodeflowBuffer

EdgesFeaturesBa

nk

EdgesFeaturesBa

nk

Mem

ory

Cont

rol

Global Weight Buffer Tile Buffer

EdgeAccum.

Bank

Bank

VertexAccum.

Bank

Bank

VertexUnit

Transform PE

Transform PE

Edge Unit

… …

Pre-fetch

Pre-fetch

Cros

sbar

UpdateUnit

ActivatePE

ActivatePE

Weight Sequencer

GatherPE

ReducePE

GatherPE

ReducePE

Custom PE

Fig. 5: High-level overview of GRIP.

commands from being issued until all previous commands havecompleted. Each command also updates a global status registeron completion, which can be queried by the host to monitorexecution.

Execution Units. GRIP has three core execution units: theedge unit, the vertex unit, and the update unit. The edge unitperforms the edge-accumulate phase by iterating over the edgesof the nodeflow, which is stored in the nodeflow buffer. Theedge unit then reads the associated features, executes gather,and finally accumulates the result into the edge accumulatorusing reduce.

The vertex unit performs the vertex-accumulate phase byiterating over the output vertices corresponding to the accu-mulated edge values. It then executes transform, accumulatingthe result into the vertex accumulator. The vertex unit alsoreads weight values from the tile buffer, which caches tiles ofweight values from the global weight buffer. To synchronize thetile buffer and the vertex unit, the weight sequencer controlsiterating over the tiles as described in Section VI-B. Sinceweight values are shared across all nodeflow output vertices,the global weight buffer is only required to be loaded once atthe beginning of a GRIP program.

Finally, the update unit performs the vertex-update phase byreading the accumulated values for each vertex and passingthe values to the activate PE. The result is written to thenodeflow buffer as an updated feature, or to the edge or vertexaccumulator. This allows efficiently passing values betweendifferent GRIP programs when they are executed in sequence.

PE Implementation. GRIP allows users to customize fourPEs corresponding to the UDFs introduced in Sec. IV. These canbe implemented in multiple ways depending on the user’s needs.For example, they could be implemented using a reconfigurablefabric (e.g. an FPGA) for maximum flexibility. Alternatively,they could be implemented using a model specific circuit tooptimize for area or performance.

Our implementation uses a programmable ALU basedapproach. Since most common GNNs only require a smallnumber of operations in practice, this allows us to supporta range of models on the same hardware while remainingreasonably efficient in practice. Specifically, we allow gatherto be identity (e.g. hu or hv), element-wise sum, product, or

scale by constant; reduce to be element-wise sum, max, ormean; transform to be matrix multiplication followed byelement-wise sum; and activate to be either ReLU or aLUT operation which we describe in Sec. V-D. While thesecover most GNN models we investigated, expanding the setof supported operations may be required for other GNNs. Weleave exploring other possible implementations for future work.

Memory Controller. The memory controller is responsiblefor moving data on- and off-chip. Instead of each executionunit issuing requests to the memory controller directly, thehost is required to statically schedule memory transfers beforeexecution. This is possible since the set of features requiredfor inference can be easily determined from the nodeflow. Thisalso prevents individual units from stalling on external memoryaccesses, but requires scheduling commands such that loadingdata fully overlaps with execution (Sec. VI-A).

B. Edge Unit

Reduce LanePrefetch LaneIterate

OutgoingEdges

Read SourceFeature

DequeueSource

Vertex ID

Cro

ssba

r

GatherPE

ReadDest.

Feature

ReducePE

P0 P2P1 R0

ReadEdge

Accum.

WriteEdge

Accum.R1 R2 R3 R4

Fig. 6: The edge unit pipeline is split into source-oriented(P0-P2) and destination-oriented (R0-R4) sections called lanesthat can be independently replicated. Stage R0 is only usedfor models that require reading source features.

The edge unit pipeline is split into two distinct halves (Fig. 6).Stages P0-P2 implement prefetch, which iterates over the edgesof the nodeflow and reads the features corresponding to thesource vertex. The result is passed to reduce (stages R0-R4),which reads the corresponding destination feature and thenapplies gather and reduce, accumulating the result intothe edge accumulator. GRIP allows optionally disabling stageR0 since most models do not require reading source features.

Parallelization. The edge-accumulator value for each outputvertex can be computed independently. This means there isa significant amount of parallelism that can be exploited toimprove the performance of the edge unit. A simple method to

5

Page 6: GRIP: A Graph Neural Network Accelerator Architectureaccelerator architecture designed for low-latency GNN infer-ence. GRIP’s programming model is inspired by GReTA [27], a decomposition

parallelize execution is to duplicate the elements of the edgeunit into N identical copies. Each copy can then be assigneda subset of output vertices to process in parallel (e.g. by arandom hash of the vertex ID). However, since the nodeflowbuffer is read every cycle by each lane, this approach requiresadding 2N ports to the nodeflow buffer.

Instead, GRIP duplicates prefetch and reduce into N andM copies called lanes. Each lane is statically assigned apartition of input vertices (for prefetch) or output vertices(for reduce). Similarly, edges are assigned to a prefetch lanebased on the edge’s source vertex. During execution, eachprefetch lane iterates over its assigned edges and reads eachedge’s corresponding feature. It then sends the feature datathrough an N ×M crossbar to the reduce lane assigned to thedestination vertex. This design restricts each lane to accessingonly its assigned subset of features and edges, allowing GRIPto partition the nodeflow buffer into N +M separate SRAMs.As a result, GRIP scales to a much larger number of lanes thanthe simpler design. Additionally, our implementation of GRIPextends this scheme to include off-chip memory by storingfeature data pre-partitioned and setting the number of prefetchlanes equal to the number of DRAM channels.

C. Vertex Unit

The vertex unit implements the vertex-accumulate phase byiterating over the output vertices and applying transform.Our implementation restricts transform to a matrix multipli-cation, which we implement using a 16× 32 weight stationaryPE array [9]. Each PE contains a 16-bit multiplier, as well asa local double buffered weight register. The PE array is brokeninto two 16× 16 blocks. Blocks can be configured to use oneof two modes: cooperative, where both blocks operate on thesame vertex, or parallel, where blocks operate on differentvertices in parallel. Parallel mode broadcasts weight values toboth blocks, allowing for slightly lower energy consumptionat the expense of higher latency when there is only a singleoutput vertex.

Unlike many other neural network accelerators, GRIP doesnot use a systolic array structure. Instead, GRIP broadcastsinputs across the rows of the array and accumulates resultsdown columns using a reduction tree. The entire operation ispipelined to allow multiple matrix operations to occur withoutstalling, even as weights are transferred in and out of the array.This results in a significant savings in latency for a singlematrix-vector operations; instead of requiring 16 + 32 = 48cycles, our implementation requires just six (three to distributevalues, one for multiplication, and two for reduction). This alsoeliminates the buffers required for input skewing in a systolicdesign.

D. Update Unit

The update unit iterates over each vector in the vertexaccumulator and applies activate. Our activate PE allowstwo possible operations: element-wise ReLU and a two-levelconfigurable lookup-table (LUT) that can be used to approxi-mate many activation functions. Each LUT level is implemented

ABCDEF

A

B

C

D

E

(a)

ABCDEF

A B C D

U1

U2

U3

EV1 V2

1,1

3,2

(b)

V1U1

U3U2

Time

V2U2

LDLD EA

LDLDEA VA VU

EALDLD EA VA VU

(c)

Fig. 7: An example of a nodeflow (a) and correspondingpartitions (b) which are processed column-wise. GRIP alsopipelines transferring feature data with execution (c).

as a separate table with 33 and 9 entries, respectively. Bothcover overlapping ranges of input: the first level from −2a to2a, and the second level from −2b to 2b, where a and b areuser configurable values. The LUT entries lineally partitionthe range, e.g. entry 0 of level 1 corresponds to −2a, entry 1corresponds to −2a + 2a+1/32, etc. To perform an activationcomputation, the input is first converted to a 16-bit fixed pointrepresentation with 4-bits of integer precision. Each level isthen checked in series to see if the input falls in its range.If so, the closest two LUT values are linearly interpolatedto produce an output. If the values overflow the range forboth levels, the input is either clamped to the closest valuein the second level, or a user configured linear function isused. Additionally, the overflow behavior can be configured forboth positive and negative inputs independently, allowing theimplementation of non-symmetric activation functions. Thissimple approximation covers a large number of activationfunctions, including sigmoid, which is required for modelssuch as G-GCN.

VI. OPTIMIZATIONS

GRIP implements two major GNN optimizations: executionpartitioning and vertex-tiling. Execution partitioning describesa method to split a GRIP program to operate on partitions ofa nodeflow, reducing the amount of on-chip memory required.GRIP supports pipelining operations on different partitions,improving performance. Vertex-tiling improves the locality ofweights the vertex-accumulate phase, reducing the memorybandwidth required by the vertex unit. Collectively, theseoptimizations reduce inference latency for GRIP by a significantfactor.

A. Execution Partitioning

A common GNN optimization is to split the graph intopartitions that can be computed on separately [27], [31], [45].This reduces the peak amount of on-chip memory required tocompute inference since only a portion of the graph must beloaded at once. GRIP supports a similar optimization we referto as execution partitioning, shown in Fig. 7. First, the userpartitions the nodeflow offline by splitting the input and outputvertices into fixed chunks of size N and M . Likewise, theedges are partitioned into blocks of size N ×M , where blockNFi,j stores the edges connecting input vertices in chunk Ui tooutput vertices in chunk Vj . During inference, GRIP executes

6

Page 7: GRIP: A Graph Neural Network Accelerator Architectureaccelerator architecture designed for low-latency GNN infer-ence. GRIP’s programming model is inspired by GReTA [27], a decomposition

edge-accumulate for each partition in a column, skipping blocksthat are empty. Then, GRIP executes the vertex-accumulate andvertex-update phases once, updating values in the correspondingpartition of output vertices. This ensures every incoming edgefor each output vertex is processed before vertex-accumulate.

Another advantage of execution partitioning is that operationscan be pipelined between partitions. GRIP implements twokinds of pipelining related to partitioning. First, GRIP pipelinesloading data from off-chip with the edge-accumulate phase.This allows overlapping execution with bulk loading featuredata for an entire partition. If enough space is available inthe nodeflow buffer, GRIP also optionally caches partitionfeature data loaded during the processing of the first columnto avoid reload data while processing later columns. Second,transferring weights from the global buffer can be pipelinedwith processing an entire column. GRIP performs inter-layerpipelining by loading the weights of the next layer whileprocessing the last column, and preloads the tile buffer beforeprocessing the first column.

B. Vertex-Tiling

The bandwidth required to load layer weights can be asignificant bottleneck. For example, consider a GCN layer witha feature size of 256. Since our implementation of transformcannot hold the entire 1MB weight matrix locally, new weightvalues must be loaded every cycle. At an operating frequencyof 1GHz, this requires a maximum of 2TB/s of tile bufferbandwidth, which we found difficult to implement physically.While this could be resolved by increasing the number ofweights stored within the multiplier array, this increases energyusage and lacks flexibility since a model with a larger featuresize would still run into the same limitation.

ABCDEF

Features Tile BufferEdge Accum. Vertex Accum.

F

M =XO

of

m

Fig. 8: Vertex-tiling allows materializing a small tile of edgeaccumulator values (m× f ) instead of the full M × F matrix.This reduces the memory bandwidth required since a tile ofweight values can be reused across m vertices.

GRIP’s approach is to instead use an optimization we callvertex-tiling. The key insight of vertex-tiling is that in almostall cases transform is affine, which allows us to performan optimization similar to tiling matrix multiplication. Fig. 8shows a graphical representation of this strategy. Here, edge-accumulate produces f elements for m output vertices. Thisrequires storing f×m elements in the edge accumulator insteadof the full F ×M matrix. Then, we run vertex-accumulate(in this case matrix multiplication), which loads each f × otile from the tile buffer. We then repeat this process, first forall vertex tiles and then for all weight slices, maximizing thelocality of the weights. This reduces the bandwidth between

the tile buffer and the matrix unit by a factor of 1/m. Thus, bytuning f and m we can trade-off the required bandwidth withthe amount of storage required for tiles and edge-accumulatevalues.

VII. EXPERIMENTAL METHODOLOGY

Datasets. Table I describes the properties of the datasetschosen for evaluation. Datasets were selected from previousevaluations of GNNs [21], the SNAP project [29], and the UFsparse matrix collection [12]. Included datasets were designedto be similar to the workloads used by GNNs, as well as providea range of connectivity. We prepossessed each dataset using thesame procedure outlined by the authors of GraphSAGE [21].The column “2-Hop” denotes the median number of uniquevertices within the 2-hop neighborhood of a vertex pickeduniformly at random from the graph, taking into account thesampling procedure.

TABLE I: Datasets used for evaluation.

Dataset Nodes Edges 2-Hop

Youtube (YT) 1,134,890 2,987,624 25Livejournal (LJ) 3,997,962 34,681,189 65Pokec (PO) 1,632,803 30,622,564 167Reddit (RD) 232,383 47,396,905 239

Models. We implemented four GNNs which cover a broadrange of different model types: GCN [28], the max variant ofGraphSage [21], GIN [44], and G-GCN [2], [5], [33]. For ourneighborhood function, we use the same sampling proceedureas described by the authors of GraphSage. Specifically, wedeterministically map a given vertex to a fixed-sized, uniformsample of its neighbors. For all models, we use two layers withsample sizes 25 and 10 for the first and second layer, respec-tively. Samples between layers are independent. Additionally,we use a feature size of 602 (the feature size of the Redditdataset), a hidden dimension of 512, and an output dimensionof 256 for all layers.

Baseline. Our CPU baseline was a dual socket servercontaining two, 14-core 2.60GHz Intel Xeon E5-2690 v4CPUs, each with four channels of DDR4-2400 memory. Werestricted our experiments to a single socket to adhere toTensorflow performance guidelines [18] and to avoid latencyvariation resulting from NUMA. In this configuration, wemeasured a sustained 1.084TFlop/s in a matrix multiplybenchmark (93% of 1.164TFlop/s theoretical peak) and64.5GiB/s of off-chip memory bandwidth (84% of 76.8GiB/stheoretical peak).

We implemented both the baseline and our optimizedinference algorithm in Tensorflow v2.0 [1] with eager modedisabled and compiled with the Intel Math Kernel Library [23].To discount the overhead of the Tensorflow library for eachmodel, we measured the time to evaluate an equivalent modelwith all tensor dimensions set to zero and subtract it from thelatency measurement. We also perform a warm-up inferencebefore all measurements to allow Tensorflow to compile andoptimize the network.

7

Page 8: GRIP: A Graph Neural Network Accelerator Architectureaccelerator architecture designed for low-latency GNN infer-ence. GRIP’s programming model is inspired by GReTA [27], a decomposition

TABLE II: Architectural characteristics of baseline and GRIP.

CPU GRIP

Compute 1.164TOP/s@ 2.6GHz

1.088TOP/s@ 1.0GHz

On-chipmemory

L1D: 14× 32 KiBL2: 14× 256 KiBLLC: 35MiB

Nodeflow: 4× 20 KiBTile: 2× 64 KiBWeight: 2MiB

Off-chipmemory

4× DDR4-240076.8GiB/s

4× DDR4-240076.8GiB/s

Total Area 306.18mm2 11.27mm2

Power 135W 4.9W

ASIC Synthesis: We implemented GRIP in SystemVerilog,choosing the architectural parameters to have similar computeand memory bandwidth as our CPU baseline (Table II).The implementation uses 16-bit fixed point, which maintainssuitable inference accuracy in the models we evaluate. We thenperformed synthesis and place and route in a 28 nm CMOSprocess, targeting a 1GHz operating frequency and worst casePVT corner. The critical path of GRIP was determined tobe 0.93 ns, inside the weight SRAMs. Power estimates ofeach unit was performed by generating activity factors from acycle accurate simulation of our implementation and applyingthem to our synthesized design. We used Cacti v6.5 [39] toestimate the area and power of the SRAM memories. We alsointegrated Ramulator [26] into our simulator to estimate DRAMtimings and produce a command trace. These traces were fedto DRAMPower [6] to estimate DRAM power.

VIII. EVALUATION

GRIP aims to accelerate GNN inference for a wide range ofmodels, specifically targeting low latency. We evaluate this bymeasuring overall inference latency for four different modelsand compare to a CPU and GPU baseline (Sec. VIII-A). Tobetter understand GRIP’s performance, we then breakdownthe contribution of each architectual feature (Sec. VIII-B)and how the overall speedup changes as we modify botharchitectural (Sec. VIII-C) and model parameters (Sec. VIII-D).We also measure the impact of each GNN optimization weimplemented (Sec. VIII-E). Finally, we compare GRIP toalternative approaches (Sec. VIII-F) and present a breakdownof energy consumption during inference (Sec. VIII-G).

A. Overall Performance

To evaluate GRIP’s overall performance, we measured thetotal end-to-end execution time (latency) to compute inferencewith a variety of models and datasets. Table III shows GRIP’sinference latency and speedup versus our CPU and GPUimplementation. We use 99th percentile latency for consistencywith prior evaluations of inference performance [38].

Performance vs. CPU. Compared to our CPU implementa-tion, GRIP achieves a latency improvement of between 29.8×(GCN, Pokec) and 10.9× (GIN, Pokec) with a geometric meanof 17.0× across all datasets and models. GRIP tends to give asmaller speedup on models that perform a larger portion of their

TABLE III: 99%-ile inference latency for GRIP, CPU, andGPU

CPU GPU

Model Dataset GRIP µs × µs ×

GCN

youtube 15.4 309.2 (20.1) 1082.4 (70.5)livejournal 15.8 466.8 (29.5) 1313.6 (83.1)pokec 16.0 477.1 (29.8) 1085.6 (67.7)reddit 16.3 407.1 (25.0) 813.2 (50.0)

G-GCN

youtube 134.1 2315.9 (17.3) 1332.5 ( 9.9)livejournal 146.3 2493.2 (17.0) 1837.6 (12.6)pokec 146.7 2637.9 (18.0) 1409.2 ( 9.6)reddit 147.0 2864.2 (19.5) 1133.9 ( 7.7)

GS

youtube 113.7 1545.1 (13.6) 1309.0 (11.5)livejournal 124.4 1947.4 (15.7) 2193.8 (17.6)pokec 124.9 2075.7 (16.6) 1759.1 (14.1)reddit 125.3 2099.0 (16.8) 1252.8 (10.0)

GIN

youtube 30.5 344.7 (11.3) 1387.6 (45.5)livejournal 30.9 416.1 (13.5) 1221.5 (39.5)pokec 31.1 340.7 (10.9) 855.5 (27.5)reddit 31.4 354.8 (11.3) 1009.4 (32.2)

computation during the Update step of the message-passinglayer. For example, GIN’s Update uses a two-layer MLP thatrequires roughly double the computation of GCN’s singlematrix multiplication. However, the additional computationresults in similar overall CPU inference latency since ourimplementation is largely bottlenecked by non-computationalfactors (Sec. II-B). This results in GRIP achieving a smallerperformance improvement of 10.9-13.5× compared to animprovement of more than 13.6× for all other models.

Performance vs. GPU. Practical deployments of onlineGNN inference most often use CPUs due to the large memoryrequirements for graph features and low utilization at smallbatch sizes. However, for completeness we also benchmarkGRIP against an Nvidia P100 GPU implementation for eachmodel. GRIP’s speedup on GPU ranges from 83.1× (Live-journal, GCN) to 7.7× (Reddit, G-GCN) with a geometricmean of 23.4×. For models with relatively low overall latency(GCN, GIN) we see a significantly higher speedup thanwith our CPU implementation. This is largely due to theoverhead of transferring embeddings from host to GPU memory(roughly 200-500 µs, depending on the neighborhood size)which comprises a large portion of the overall execution timefor models like GCN (25%-50% of total latency). GRIP doesnot incur this penalty since features and weights are alreadystored in device DRAM and do not have to be transferredfrom the host. On models with a higher total execution time(e.g. G-GCN), GRIP still achieves a significant speedup dueto low GPU utilization. With a batch size of 1, there is notsufficient computation during each layer to fully utilize thecomputational resources of the GPU and overhead of launchingeach kernel tends to dominate.

8

Page 9: GRIP: A Graph Neural Network Accelerator Architectureaccelerator architecture designed for low-latency GNN infer-ence. GRIP’s programming model is inspired by GReTA [27], a decomposition

0 5 10 15 20Speedup

+ Other+ Vert. Unit+ Edg. Unit

+ Split Mem.Baseline

19.519.2

10.33.0

1.0

(a) Speedup breakdown for eachcomponent of GRIP versus baseline.

0 4 8 12 16 20Speedup

GRIPTPU+

HyGCNGraphici.Baseline

19.511.3

4.42.4

1.0

(b) Estimated speedups ofprior work versus baseline andGRIP.

Fig. 9: Breakdown of performance improvements.

B. Breakdown of Performance

In this subsection, we breakdown the performance impact ofeach architectural feature of GRIP. Specifically, we modify ourcycle-accurate simulator to match the bottlenecks exhibited byour CPU implementation and then progressively remove eachmodification to measure the impact of different units. As aperformance benchmark, we use the geometric mean speedupof GCN for the largest neighborhood in each dataset.

Baseline Configuration. Our baseline configuration em-ulates each core being assigned independent vertices andperforming all GReTA phases, with weights and partitiondata being first loaded into L3 cache and intermediate valuesaccumulated directly in L2. This results in the followingsimulator modifications. First, we modify our vertex unit touse 14, 8× 2 matrix multiply units, with each unit assignedindependent vertices within a partition. This emulates theeffect of each CPU core using two 8-element SIMD units.Second, we increase the number of fetch and gather units to14 and the crossbar width to 32 bytes, matching the number ofcores and L2 cache bandwidth, respectively. We also disablepipelining between the edge and vertex units to emulate asingle core performing both functions. Third, we merge theweight and nodeflow buffers into a single SRAM and limitthe maximum read bandwidth to 16 bytes per cycle per fetchunit, matching the bandwidth of the L3 cache. Finally, wedisable pipelining between the vertex and update unit tomodel both operations being performed by the same core.This configuration overestimates the performance of the CPUin practice since it models ideal performance and no additionalcomputation required for auxiliary operations, such as indexingcalculations. In particular, with a 2.6GHz clock and an elementwidth of 4-bytes, our model is 2.07× faster than the measuredCPU latency.

Breakdown. In Fig. 9a, we show the impact of differentunits in GRIP by progressively removing each modificationfrom our baseline in reverse order. First, we split the weightand nodeflow memories into separate SRAMs. This results ina 2.8× speedup due to removing contention between fetchingfeatures and weights from the same SRAM (2.0×), as welldoubling the bandwidth available to load weight values intothe vertex unit (1.4×). Second, we add the edge unit, resultingin an additional improvement of 3.4×. While this is partially

1 2 4 8 16# DRAM Chan.

(a)

0.5

1

1.5

Spee

dup

32 128 512Weight GiB/s

(b)

0.5

1

1.5

8 32 128XBar Width

(c)

0.5

1

1.5

¼ ½ 1 2 4Mat. TOP/s

(d)

0.5

1

1.5

Fig. 10: Impact of scaling architectural parameters. Dashedvertical line indicates our implementation’s parameters. InFig. 10a the number of edge unit lanes is kept equal to thenumber of channels.

due to increased crossbar bandwidth after adjusting the numberof fetch and gather units (1.14×), the majority of the speedupis due to allowing loading data, edge-accumulate, and vertex-accumulate phases to overlap by using a dedicated unit foreach phase (2.97×). Third, we enable the vertex unit and revertto using a single 16 × 32 matrix multiply unit, resulting inan additional 1.87× speedup. This is due to increased overallTOP/s (1.63×) and using a single unit rather than multipleunits, which allows units to not be wasted when the overallnumber of output vertices is small (1.15×). Finally, separatingand pipelining the update unit produces a small speedup of1.02×.

C. Architectural Parameters

Here, we discuss the impact of several high level architecturalparameters on inference performance.

Number of DRAM Channels. The number of DRAMchannels determines the overall memory bandwidth availableto transfer data on- and off-chip. In Fig. 10a, we observethat GRIP’s performance is strongly related to the number ofchannels until around 8 channels (∼150GiB/s). This indicatesthat GRIP’s performance is primarily limited by off-chipmemory bandwidth.

Weight Bandwidth. The weight bandwidth determines howmany values can be read from the global weight buffer eachcycle. If this is set too low, loading weight values can becomea bottleneck during vertex-accumulate. We observe this effectin Fig. 10b below 128GiB/s, which corresponds to loading64 weight values each cycle.

Crossbar Port Width. The crossbar port width determinesthe number of elements accumulated by each gather unit in asingle cycle. In our experiments, the average number of edgesper vertex is fairly small (sampled to be less than 25). Sinceedge-accumulate typically takes much less time than vertex-accumulate or loading data from DRAM, increasing the widthhas a limited impact on performance (Fig. 10c). However, itis preferable to over-allocate the crossbar width in order toensure high performance even on dense nodeflows.

Matrix Multiply TOP/s. The total number of TOP/s GRIPcan achieve is determined primarily by the size of the matrixmultiply unit. In Fig. 10d we see that performance is stronglyrelated to the size of this unit, until reaching around 2TOP/s atwhich point GRIP is limited by memory bandwidth. Thus, our

9

Page 10: GRIP: A Graph Neural Network Accelerator Architectureaccelerator architecture designed for low-latency GNN infer-ence. GRIP’s programming model is inspired by GReTA [27], a decomposition

1 32 64 128 256 512 1K 2K 4KDimension Size

0

25

50

75

100%

Tot

al T

ime

Mat

Mul

InputOutput

(a) Impact of feature dimensions.

1 2 4 8 16 32 64128# Sampled Edges

0

25

50

75

100

% T

otal

Tim

eGa

ther

(b) Impact of sampling.

Fig. 11: The impact of scaling different GCN parameters onthe balance of time spent in each operation. Scaling the outputfeature size increases the amount of time spent performingmatrix multiplication, while increasing the number of edgesdecreases it.

implementation of GRIP would see a relatively small benefitfrom a substantially larger matrix unit (1.14× for a 4× largerunit).

D. Model Parameters

A key aspect GRIP’s design is balancing the performancebetween GReTA’s edge and vertex-centric phases. Here, weevaluate how this balance changes as the parameters of theGNN model are altered.

Feature Dimensions. In Fig. 11a, we evaluate how varyingthe number of the input and output features impacts the percentof time spent in matrix multiplication. The proportion is initiallylow (∼8%) for small features and increases linearly until32 features. This is due to the fact that when the featuresize is smaller than the native width of the DRAM interface,DRAM bandwidth is poorly utilized due to many randomaccesses. In our implementation, we use two dual-channelDRAM controllers, which each have an interface of 64 2-byte elements. Above this point, the proportion of time spentperforming vertex-accumulation stays flat, reflecting the factthat each additional feature results in a constant amount ofadditional computation during inference. However, this analysisdoes not hold for the output features, which can be increasedwithout needing to increase the number of values loaded fromDRAM. We see that increasing the output feature size alwaysincreases the percent of time performing vertex-accumulate.Thus, models with large output feature sizes are likely to belimited by compute rather than memory.

Sampled Edges. Another important model parameter isthe number of sampled edges per output vertex. In Fig. 11b,we evaluate how the number of edges impacts the percentof total time spent performing edge-accumulate. For lessthan 8 edges per vertex, GRIP’s performance is mostlylimited by computation and overhead related to accessingdata from DRAM. Above this threshold, the memory andcrossbar bandwidth becomes a bottleneck, and GRIP spendsan increasing portion of execution loading data.

Neighborhood Size. The neighborhood size heavily impactsGRIP’s overall latency and is influenced by local graphstructure. To demonstrate the impact of the neighborhood

50 100 150 200 250Neighborhood Size

1.0

1.2

1.4

1.6

1.8

Norm

alize

d La

tenc

y 99thmedianmin

(a)

50 100 150 200 250Neighborhood Size

15

20

25

30

35

Spee

dup

(b)

Fig. 12: Impact of different neighborhood sizes on latencyfor the GCN model. GRIP’s latency linearly increases withneighborhood size due to more computation being required forinference. The speedup is roughly constant until a neighborhoodsize of about 95, at which point intermediate values no longerfit into the cache of a single CPU core.

size on performance, we plot GRIP’s minimum, median, and99th percentile inference latency for GCN across differentneighborhoods of the LiveJournal dataset in Fig. 12a. Theresult is a strong linear relationship between the neighborhoodsize and latency across the entire distribution. Each vertex addedto the neighborhood results in a roughly constant increase inthe amount of work during inference. Additionally, we observethat as the neighborhood size increases, the median latencymoves closer to the 99th percentile. This is the result of largerneighborhoods being more likely to be densely connected,leading to a larger number of reductions that must be computed.

In Fig. 12, we evaluate the latency speedup compared tothe CPU baseline across different neighborhood sizes. Belowa neighborhood size of 95, we see a roughly constant speedupof between 12× and 18×. For these neighborhood sizes, allintermediate values fit into the L1 and L2 cache of a singleCPU core. After this point, some feature values must be storedin the L3 cache and inference performance becomes limitedby the cache bandwidth (Sec. II-B).

E. Optimizations

0 1 2 3Speedup

+ WeightPreload

+ Pipeline

+ Cache

Baseline

2.5

1.7

1.3

1.0

(a) Impact of pipelining

1 4 8 12 16# Vertices

32

64

128

256

Tile

Size

0.6 2.1 3.1 5.2 4.9

1.1 3.8 5.4 8.0 7.3

1.1 3.7 5.3 8.0 7.3

1.1 3.7 5.2 7.4 6.6

(b) Speedup of vertex-tiling

Fig. 13: Impact of partitioning and tiling optimizations.

In this subsection, we evaluate the impact of each optimiza-tion used by GRIP.

Partitioning and pipelining. In Fig. 13a we show the cu-mulative speedups of each optimization enabled by partitioning.

10

Page 11: GRIP: A Graph Neural Network Accelerator Architectureaccelerator architecture designed for low-latency GNN infer-ence. GRIP’s programming model is inspired by GReTA [27], a decomposition

We compare to an unoptimized baseline, where feature valuesare loaded from off-chip on demand and no pipelining existsbetween stages. First, by caching feature data on-chip, GRIPachieves a 1.3× speedup. This is due to the decreased memorytraffic required to reload data between partition columnsand by the improved throughput from bulk loading data foran entire partition. Second, pipelining operations betweendifferent partitions results in an additional 1.3× speedup dueto overlapping execution with memory transfers. Finally, wecan also pipeline the transfer of weights from the global weightbuffer into the vertex-update unit. This increases the overallspeed-up to a total of 2.5×.

Vertex-Tiling. In Fig. 13b, we show the speedup comparedto no tiling as we alter the two tiling parameters M (the numberof vertices in a tile) and F (the number of elements per vertex).We see that performance generally reaches a maximum aroundF = 64 elements. Above F = 64, increasing F causes thevertex unit to stall more often while waiting for a tile to beproduced by the edge unit. The performance degradation isnot linear because the time taken to accumulate a tile dependson the connectedness of the nodeflow. We also see degradedperformance below F = 64. This is because F featuresare loaded from memory for each vertex. As F decreases,more random DRAM accesses are required to load features,degrading DRAM throughput. Increasing M also increasesperformance until around 12 vertices. The maximum numberof output vertices in our model is 11. Increasing M beyondthis only adds additional latency associated with processingempty dummy vertices.

F. Comparisons to Prior Work

Several other approaches have been proposed to accelerateneural networks and graph algorithms. Here, we analyze thebottlenecks present in each approach and compare performancewith GRIP.

HyGCN. HyGCN [45] is an accelerator designed for graphconvolutional networks, a subset of GNNs that do not havecomputation associated with edges. HyGCN and GRIP takea similar approach of using separate units for edge- andvertex-centric operations. However, GRIP addresses two majorbottlenecks present in the HyGCN design.

First, HyGCN uses 32 8-lane SIMD units to perform edge-oriented operations, but can only issue a single edge at a time.This means the throughput of edge operations will be limitedwhen the number of features is smaller than the total numberof SIMD lanes. In contrast, GRIP allows for multiple edges tobe issued in parallel.

Second, HyGCN requires an entire feature vector to becomputed and stored before performing vertex-oriented oper-ations. In order to process multiple vertices in parallel, thisrequires a large buffer to store accumulated values (16MB inthe HyGCN implementation). The size of this buffer is alsoreported by the HyGCN authors to have a significant impacton their overall performance (1.3 – 4× worse performance fora 16× smaller buffer.) In contrast, GRIP uses vertex-tiling toonly store a small number of elements from multiple feature

vectors. This allows GRIP to use a roughly 10, 000× smallerbuffer (1.5KiB) while achieving comparable performance.

We demonstrate these limitations by modifying our simulatorto emulate the HyGCN approach. Specifically, we set thenumber of gather and fetch units to 1 and the crossbar widthto 256 to match the number of SIMD lanes. We disable alltiling and force feature vectors to be fully accumulated beforevertex-accumulate. We then set all other parameters to be thesame as GRIP, including the same partitioning used in ourevaluation of GRIP.

This configuration results in a speedup of 4.4× the baseline,shown in Fig. 9b. However, it performs 4.5× slower thanGRIP due to limits in the available on-chip memory bandwidthfor weights. Incorporating vertex tiling would allow for amuch smaller edge accumulate buffer and reduce the requiredbandwidth by increasing the reuse of the weights.

Modified TPU. The TPU [25] is a DNN accelerator designedaround a large 2-D systolic array. Unfortunately, GNNs aredifficult to implement efficiently for the TPU due to a lack ofsupport for edge-oriented operations [3]. Instead, we compareGRIP to a modified version of the TPU architecture thataddresses this limitation by incorporating features from GRIP.We refer to this modified design as the TPU+.

Specifically, the TPU+ has an additional unit similar toGRIP’s edge-unit between the TPU’s unified buffer and thesystolic data setup. This allows the TPU+ to natively supportthe GReTA programming model by mapping edge-accumulateonto the new edge-unit, vertex-accumulate onto TPU’s systolicarray, and vertex-update onto the activation pipeline. Thisdesign also supports both the execution partitioning and vertex-tiling optimizations described in Sec. VI.

We estimate the performance of the TPU+ by modifying ourcycle-accurate model to use a single fetch and gather unit. Wealso replace the vertex-unit with an identically sized 16× 32systolic array. As in the original TPU design, weights arestored off-chip and the dedicated weight bandwidth is limitedto 30GiB/s. All other parameters remain unchanged comparedto our evaluation of GRIP, including the use of 4× DDR4-2400for off-chip memory and the same partitioning and vertex-tilingoptimizations.

This configuration achieves a 11.3× speedup (Fig. 9b)compared to our baseline in Sec. VIII-B. The main bottleneckin this approach is the limited bandwidth dedicated to weights.Moving weights on-chip as in GRIP results in a 1.72× speedup.Higher performance memory for weights (e.g. HBM as used bylater versions of the TPU) could also address this bottleneck.However, we leave a fuller exploration for future work.

Graphicionado. Graphicionado [20] is an accelerator archi-tecture designed for graph analytics. Like GRIP, Graphicionadoallows several units to be specialized for a particular algorithms,such as GCN inference. However, it is designed for algorithmsthat use a small amount of state per-vertex. As a result, itsuffers from two bottlenecks. First, like HyGCN, it cannotperform vertex-tiling since it requires full feature vectors to beaccumulated. This results in a bottleneck similar to HyGCNsince weight data cannot be easily reused between different

11

Page 12: GRIP: A Graph Neural Network Accelerator Architectureaccelerator architecture designed for low-latency GNN infer-ence. GRIP’s programming model is inspired by GReTA [27], a decomposition

vertices. Second, each lane has independent vertex units insteadof using a single shared unit, increasing the required weightbandwidth by an amount proportional to the number of lanes.

We estimate the impact of these bottlenecks by modifyingour simulator by disabling tiling and splitting the vertex unitinto two units lanes that share a single tile buffer port. Wealso use the same partitioning scheme used for GRIP. Thisconfiguration results in a small speedup of 2.4× over thebaseline, shown in Fig. 9b. However, this is 8.1× slower thanGRIP due to significant bottlenecks in weight bandwidth.

G. Energy

Table IV shows the power consumption for each of GRIP’score top level modules during GCN inference. The singlemost energy intensive during inference is loading embeddingsfrom DRAM, consuming more than the rest of the acceleratorcombined (53.7%). This is due to the fact that both the numberof vertices and the feature size is the largest at the input ofGCN, leading to more data being initially loaded in the firstlayer. Additionally, GRIP optimizes for latency with four highperformance DRAM channels, requiring a large amount ofenergy per transfer. The rest of the energy is mostly used byloading weights from the global weight and nodeflow buffers.Both are fairly large, leading to a high energy cost per read andwrite. In total, GRIP uses just 4.9W, a significant improvementover the 135W TDP of the baseline CPU.

TABLE IV: Breakdown of power for GCN inference.

Module mW (%)

ExecutionUnits

Edge 4.1 0.1Vertex 656.6 12.6Update 0.4 < 0.1

SRAM Weight 1476.7 28.3Nodeflow 269.5 5.1

DRAM - 2794.7 53.7

Total 4932.4 100

IX. CONCLUSION

GNNs represent a promising new method in machine learningto learn directly from graph-structured data. However, thecomputational costs of GNNs represent a significant barrier fordeployment in many applications, especially in the scenario ofonline inference.

This paper presents GRIP, an accelerator architecture de-signed for low latency GNN inference. GRIP splits GNNoperations into a series of edge- and vertex-centric phases. Eachphase is implemented independently in hardware, allowing forspecialization of both the memory subsystem and executionunits to improve performance. Additionally, GRIP has hardwaresupport for several optimizations that further reduce latency,including pipelining operations between nodeflow partitionsand vertex-tiling. We then implement GRIP as 28 nm ASICcapable of executing a range of different GNNs. On a varietyof real graphs, our implementation improves 99th percentile

latency by a geometric mean of 17× and 23× compared to aCPU and GPU baseline, respectively, while drawing only 5W.

REFERENCES

[1] M. Abadi, A. Agarwal, P. Barham, E. Brevdo, Z. Chen, C. Citro, G. S.Corrado, A. Davis, J. Dean, M. Devin, S. Ghemawat, I. Goodfellow,A. Harp, G. Irving, M. Isard, Y. Jia, R. Jozefowicz, L. Kaiser,M. Kudlur, J. Levenberg, D. Mane, R. Monga, S. Moore, D. Murray,C. Olah, M. Schuster, J. Shlens, B. Steiner, I. Sutskever, K. Talwar,P. Tucker, V. Vanhoucke, V. Vasudevan, F. Viegas, O. Vinyals, P. Warden,M. Wattenberg, M. Wicke, Y. Yu, and X. Zheng, “TensorFlow:Large-scale machine learning on heterogeneous systems,” 2015, softwareavailable from tensorflow.org. [Online]. Available: http://tensorflow.org/

[2] M. Allamanis, M. Brockschmidt, and M. Khademi, “Learning torepresent programs with graphs,” in 6th International Conferenceon Learning Representations, ICLR, 2018. [Online]. Available:https://openreview.net/forum?id=BJOFETxR-

[3] M. Balog, B. van Merrienboer, S. Moitra, Y. Li, and D. Tarlow, “Fasttraining of sparse graph neural networks on dense hardware,” arXivpreprint arXiv:1906.11786, 2019.

[4] P. W. Battaglia, J. B. Hamrick, V. Bapst, A. Sanchez-Gonzalez, V. Zam-baldi, M. Malinowski, A. Tacchetti, D. Raposo, A. Santoro, R. Faulkneret al., “Relational inductive biases, deep learning, and graph networks,”arXiv preprint arXiv:1806.01261, 2018.

[5] X. Bresson and T. Laurent, “Residual gated graph convnets,” arXivpreprint arXiv:1711.07553, 2017.

[6] K. Chandrasekar, C. Weis, Y. Li, S. Goossens, M. Jung, O. Naji,B. Akesson, N. Wehn, and K. Goossens, “DRAMPower: Open-sourcedram power & energy estimation tool,” URL: http://www.drampower.info,vol. 22, 2012.

[7] J. Chen, T. Ma, and C. Xiao, “FastGCN: Fast learning with graph con-volutional networks via importance sampling,” International Conferenceon Learning Representations (ICLR), 2018.

[8] T. Chen, Z. Du, N. Sun, J. Wang, C. Wu, Y. Chen, and O. Temam,“DianNao: A small-footprint high-throughput accelerator for ubiquitousmachine-learning,” in Proceedings of the 19th International Conferenceon Architectural Support for Programming Languages and OperatingSystems, ser. ASPLOS ’14. New York, NY, USA: ACM, 2014, pp. 269–284. [Online]. Available: http://doi.acm.org/10.1145/2541940.2541967

[9] Y.-H. Chen, T. Krishna, J. S. Emer, and V. Sze, “Eyeriss: An energy-efficient reconfigurable accelerator for deep convolutional neural net-works,” IEEE Journal of Solid-State Circuits, vol. 52, no. 1, pp. 127–138,2017.

[10] Y. Chen, T. Luo, S. Liu, S. Zhang, L. He, J. Wang, L. Li, T. Chen,Z. Xu, N. Sun, and O. Temam, “DaDianNao: A machine-learningsupercomputer,” in Proceedings of the 47th Annual IEEE/ACMInternational Symposium on Microarchitecture, ser. MICRO-47.Washington, DC, USA: IEEE Computer Society, 2014, pp. 609–622.[Online]. Available: http://dx.doi.org/10.1109/MICRO.2014.58

[11] W.-L. Chiang, X. Liu, S. Si, Y. Li, S. Bengio, and C.-J. Hsieh,“Cluster-GCN: An efficient algorithm for training deep and large graphconvolutional networks,” in Proceedings of the 25th ACM SIGKDDInternational Conference on Knowledge Discovery; Data Mining, ser.KDD ’19. New York, NY, USA: ACM, 2019, pp. 257–266. [Online].Available: http://doi.acm.org/10.1145/3292500.3330925

[12] T. A. Davis and Y. Hu, “The University of Florida sparse matrixcollection,” ACM Transactions on Mathematical Software (TOMS),vol. 38, no. 1, p. 1, 2011.

[13] Z. Du, R. Fasthuber, T. Chen, P. Ienne, L. Li, T. Luo, X. Feng, Y. Chen,and O. Temam, “ShiDianNao: Shifting vision processing closer to thesensor,” in 2015 ACM/IEEE 42nd Annual International Symposium onComputer Architecture (ISCA), June 2015, pp. 92–104.

[14] C. Farabet, B. Martini, B. Corda, P. Akselrod, E. Culurciello, andY. LeCun, “Neuflow: A runtime reconfigurable dataflow processor forvision,” in 2011 IEEE Computer Society Conference on Computer Visionand Pattern Recognition Workshops (CVPR Workshops 2011). IEEE,2011, pp. 109–116.

[15] M. Gao, J. Pu, X. Yang, M. Horowitz, and C. Kozyrakis, “TETRIS:Scalable and efficient neural network acceleration with 3d memory,”in Proceedings of the Twenty-Second International Conference onArchitectural Support for Programming Languages and OperatingSystems, ser. ASPLOS ’17. New York, NY, USA: ACM, 2017, pp. 751–764. [Online]. Available: http://doi.acm.org/10.1145/3037697.3037702

12

Page 13: GRIP: A Graph Neural Network Accelerator Architectureaccelerator architecture designed for low-latency GNN infer-ence. GRIP’s programming model is inspired by GReTA [27], a decomposition

[16] M. Gao, X. Yang, J. Pu, M. Horowitz, and C. Kozyrakis, “TANGRAM:Optimized coarse-grained dataflow for scalable NN accelerators,”in Proceedings of the Twenty-Fourth International Conference onArchitectural Support for Programming Languages and OperatingSystems, ser. ASPLOS ’19. New York, NY, USA: ACM, 2019, pp. 807–820. [Online]. Available: http://doi.acm.org/10.1145/3297858.3304014

[17] J. Gilmer, S. S. Schoenholz, P. F. Riley, O. Vinyals, and G. E. Dahl,“Neural message passing for quantum chemistry,” in Proceedings ofthe 34th International Conference on Machine Learning-Volume 70.JMLR.org, 2017, pp. 1263–1272.

[18] N. Greeneltch and J. X, “Maximize TensorFlow performance on CPU:Considerations and recommendations for inference workloads,” https://software.intel.com/en-us/articles/maximize-tensorflow-performance-on-cpu-considerations-and-recommendations-for-inference, 2019.

[19] Gunrock Developers, “Hive workflow report for GraphSage GPU im-plementation,” https://gunrock.github.io/docs/hive/hive graphSage.html,accessed: 2020-02-20.

[20] T. J. Ham, L. Wu, N. Sundaram, N. Satish, and M. Martonosi,“Graphicionado: A high-performance and energy-efficient acceleratorfor graph analytics,” in 2016 49th Annual IEEE/ACM InternationalSymposium on Microarchitecture (MICRO). IEEE, 2016, pp. 1–13.

[21] W. Hamilton, Z. Ying, and J. Leskovec, “Inductive representation learningon large graphs,” in Advances in Neural Information Processing Systems,2017, pp. 1024–1034.

[22] Z. Huang, D. Zheng, Q. Gan, J. Zhou, and Z. Zhang, “Nodeflowand sampling,” 2019, https://doc.dgl.ai/tutorials/models/5 giant graph/1sampling mx.html#nodeflow, Accessed 2020-01-01.

[23] Intel Corporation, Intel Math Kernel Library. Reference Manual. SantaClara, USA: Intel Corporation, 2019.

[24] A. Jain, I. Liu, A. Sarda, and P. Molino, “Food discovery with UberEats: Using graph learning to power recommendations,” https://eng.uber.com/uber-eats-graph-learning/, accessed: 2020-02-20.

[25] N. P. Jouppi, C. Young, N. Patil, D. Patterson, G. Agrawal, R. Bajwa,S. Bates, S. Bhatia, N. Boden, A. Borchers, R. Boyle, P.-l. Cantin,C. Chao, C. Clark, J. Coriell, M. Daley, M. Dau, J. Dean, B. Gelb,T. V. Ghaemmaghami, R. Gottipati, W. Gulland, R. Hagmann, C. R. Ho,D. Hogberg, J. Hu, R. Hundt, D. Hurt, J. Ibarz, A. Jaffey, A. Jaworski,A. Kaplan, H. Khaitan, D. Killebrew, A. Koch, N. Kumar, S. Lacy,J. Laudon, J. Law, D. Le, C. Leary, Z. Liu, K. Lucke, A. Lundin,G. MacKean, A. Maggiore, M. Mahony, K. Miller, R. Nagarajan,R. Narayanaswami, R. Ni, K. Nix, T. Norrie, M. Omernick,N. Penukonda, A. Phelps, J. Ross, M. Ross, A. Salek, E. Samadiani,C. Severn, G. Sizikov, M. Snelham, J. Souter, D. Steinberg, A. Swing,M. Tan, G. Thorson, B. Tian, H. Toma, E. Tuttle, V. Vasudevan,R. Walter, W. Wang, E. Wilcox, and D. H. Yoon, “In-datacenterperformance analysis of a tensor processing unit,” in Proceedings ofthe 44th Annual International Symposium on Computer Architecture,ser. ISCA ’17. New York, NY, USA: ACM, 2017, pp. 1–12. [Online].Available: http://doi.acm.org/10.1145/3079856.3080246

[26] Y. Kim, W. Yang, and O. Mutlu, “Ramulator: A fast and extensible dramsimulator,” IEEE Computer architecture letters, vol. 15, no. 1, pp. 45–49,2016.

[27] K. Kiningham, P. Levis, and C. Re, “GReTA: Hardware Optimized GraphProcessing for GNNs,” in Proceedings of the Workshop on Resource-Constrained Machine Learning (ReCoML 2020), March 2020.

[28] T. N. Kipf and M. Welling, “Semi-supervised classification with graphconvolutional networks,” in International Conference on LearningRepresentations (ICLR), 2017.

[29] J. Leskovec and A. Krevl, “SNAP Datasets: Stanford large networkdataset collection,” http://snap.stanford.edu/data, Jun. 2014.

[30] W. Lu, G. Yan, J. Li, S. Gong, Y. Han, and X. Li, “FlexFlow: A flexibledataflow accelerator architecture for convolutional neural networks,” in2017 IEEE International Symposium on High Performance ComputerArchitecture (HPCA). IEEE, 2017, pp. 553–564.

[31] L. Ma, Z. Yang, Y. Miao, J. Xue, M. Wu, L. Zhou, and Y. Dai,“NeuGraph: Parallel deep neural network computation on large graphs,”in 2019 USENIX Annual Technical Conference (USENIX ATC 19).Renton, WA: USENIX Association, Jul. 2019, pp. 443–458. [Online].Available: https://www.usenix.org/conference/atc19/presentation/ma

[32] Y. Ma, H. Ren, B. Khailany, H. Sikka, L. Luo, K. Natarajan, and B. Yu,“High performance graph convolutional networks with applications intestability analysis,” in Proceedings of the 56th Annual Design AutomationConference 2019, 2019, pp. 1–6.

[33] D. Marcheggiani and I. Titov, “Encoding sentences with graphconvolutional networks for semantic role labeling,” in Proceedingsof the 2017 Conference on Empirical Methods in Natural LanguageProcessing. Copenhagen, Denmark: Association for ComputationalLinguistics, September 2017, pp. 1507–1516. [Online]. Available:https://www.aclweb.org/anthology/D17-1159

[34] E. Nurvitadhi, G. Weisz, Y. Wang, S. Hurkat, M. Nguyen, J. C. Hoe, J. F.Martınez, and C. Guestrin, “Graphgen: An FPGA framework for vertex-centric graph computation,” in 2014 IEEE 22nd Annual InternationalSymposium on Field-Programmable Custom Computing Machines. IEEE,2014, pp. 25–28.

[35] NVIDIA Corporation, cuSPARSE Library. NVIDIA Corporation, 2019.[36] T. Oguntebi and K. Olukotun, “Graphops: A dataflow library for

graph analytics acceleration,” in Proceedings of the 2016 ACM/SIGDAInternational Symposium on Field-Programmable Gate Arrays. ACM,2016, pp. 111–117.

[37] M. M. Ozdal, S. Yesil, T. Kim, A. Ayupov, J. Greth, S. Burns, andO. Ozturk, “Graph analytics accelerators for cognitive systems,” IEEEMicro, vol. 37, no. 1, pp. 42–51, 2017.

[38] V. J. Reddi, C. Cheng, D. Kanter, P. Mattson, G. Schmuelling, C.-J.Wu, B. Anderson, M. Breughe, M. Charlebois, W. Chou, R. Chukka,C. Coleman, S. Davis, P. Deng, G. Diamos, J. Duke, D. Fick, J. S.Gardner, I. Hubara, S. Idgunji, T. B. Jablin, J. Jiao, T. S. John, P. Kanwar,D. Lee, J. Liao, A. Lokhmotov, F. Massa, P. Meng, P. Micikevicius,C. Osborne, G. Pekhimenko, A. T. R. Rajan, D. Sequeira, A. Sirasao,F. Sun, H. Tang, M. Thomson, F. Wei, E. Wu, L. Xu, K. Yamada,B. Yu, G. Yuan, A. Zhong, P. Zhang, and Y. Zhou, “MLPerf inferencebenchmark,” arXiv preprint arXiv:1911.02549, 2019.

[39] P. Shivakumar and N. P. Jouppi, “Cacti 3.0: An integrated cache timing,power, and area model,” Compaq Computer Corporation, Tech. Rep.,2001.

[40] P. Velickovic, G. Cucurull, A. Casanova, A. Romero, P. Lio,and Y. Bengio, “Graph attention networks,” in InternationalConference on Learning Representations, 2018. [Online]. Available:https://openreview.net/forum?id=rJXMpikCZ

[41] S. Venkataramani, A. Ranjan, S. Banerjee, D. Das, S. Avancha,A. Jagannathan, A. Durg, D. Nagaraj, B. Kaul, P. Dubey, andA. Raghunathan, “ScaleDeep: A scalable compute architecture forlearning and evaluating deep networks,” in Proceedings of the 44thAnnual International Symposium on Computer Architecture, ser. ISCA’17. New York, NY, USA: ACM, 2017, pp. 13–26. [Online]. Available:http://doi.acm.org/10.1145/3079856.3080244

[42] Y. Wang, Y. Pan, A. Davidson, Y. Wu, C. Yang, L. Wang, M. Osama,C. Yuan, W. Liu, A. T. Riffel et al., “Gunrock: GPU graph analytics,”ACM Transactions on Parallel Computing (TOPC), vol. 4, no. 1, pp.1–49, 2017.

[43] Z. Wu, S. Pan, F. Chen, G. Long, C. Zhang, and P. S. Yu, “A comprehen-sive survey on graph neural networks,” arXiv preprint arXiv:1901.00596,2019.

[44] K. Xu, W. Hu, J. Leskovec, and S. Jegelka, “How powerful aregraph neural networks?” in International Conference on LearningRepresentations, 2019. [Online]. Available: https://openreview.net/forum?id=ryGs6iA5Km

[45] M. Yan, L. Deng, X. Hu, L. Liang, Y. Feng, X. Ye, Z. Zhang, D. Fan, andY. Xie, “HyGCN: A GCN accelerator with hybrid architecture.” IEEE,2020.

[46] R. Ying, R. He, K. Chen, P. Eksombatchai, W. L. Hamilton, andJ. Leskovec, “Graph convolutional neural networks for web-scalerecommender systems,” in Proceedings of the 24th ACM SIGKDDInternational Conference on Knowledge Discovery & Data Mining.ACM, 2018, pp. 974–983.

[47] Z. Ying, J. You, C. Morris, X. Ren, W. Hamilton, and J. Leskovec,“Hierarchical graph representation learning with differentiable pooling,”in Advances in neural information processing systems, 2018, pp. 4800–4810.

[48] H. Zeng and V. Prasanna, “GraphACT: Accelerating GCN trainingon CPU-FPGA heterogeneous platforms,” in The 2020 ACM/SIGDAInternational Symposium on Field-Programmable Gate Arrays, 2020, pp.255–265.

[49] C. Zhang, P. Li, G. Sun, Y. Guan, B. Xiao, and J. Cong, “OptimizingFPGA-based accelerator design for deep convolutional neural networks,”in Proceedings of the 2015 ACM/SIGDA International Symposium onField-Programmable Gate Arrays. ACM, 2015, pp. 161–170.

13

Page 14: GRIP: A Graph Neural Network Accelerator Architectureaccelerator architecture designed for low-latency GNN infer-ence. GRIP’s programming model is inspired by GReTA [27], a decomposition

[50] M. Zhang and Y. Chen, “Link prediction based on graph neural networks,”in Advances in Neural Information Processing Systems, 2018, pp. 5165–

5175.

14