Fire Alarm Controller Report

26
SUBMITTED TO: SUBMITTED BY: Ms. Anupam Maurya KRITI GARG (PROJECT GUIDE) RITULIKA GHOSH SHWETA TAMBA 1 | Page

description

fire alarm controller

Transcript of Fire Alarm Controller Report

Page 1: Fire Alarm Controller Report

SUBMITTED TO: SUBMITTED BY: Ms. Anupam Maurya KRITI GARG(PROJECT GUIDE) RITULIKA GHOSH SHWETA TAMBA

1 | P a g e

Semiconductor TechnologiesVEDANT

VLSI DESIGN EDUCATION AND TRAINING LUCKNOW CENTRE

Page 2: Fire Alarm Controller Report

ACKNOWLEDGMENT

I am highly grateful to the Mr.Sachin Kr. Kanodia, HEAD, SEMICONDUCTOR

TECHNOLOGIES, VEDANT for providing this opportunity to carry out the Major

Project at “FIRE ALARM CONTROLLER”.

I would like to expresses my gratitude My Project guide Ms. Anupam Maurya and to

other faculty members of semiconductor technologies, vedant for providing academic

inputs, guidance & encouragement throughout this period.

Finally, I express my indebtedness to especially Ms. Ankita Agrawal who has directly

or indirectly contributed to the successful completion of my major project.

Name of Candidates KRITI GARG

RITULIKA GHOSH SHWETA TAMBA

SEMICONDUCTOR TECHNOLOGIESVEDANT

EDUCATION AND TRAINING CENTRE

Page 3: Fire Alarm Controller Report

CERTIFICATE

To Whom It May Concern

I hereby certify that KRITI GARG,RITULIKA GHOSH and SHWETA TAMBA, has

undergone Major Project at Semiconductor Technologies, Vedant. She worked on

“Design and implementation of Fire Alarm Controller using VHDL” project during

this period under the supervision of Ms. Anupam Maurya. During their tenure with us

we found their sincere and hard working. We wish their a great success in the future.

Dated:01-06-2013 Ms.Anupam Maurya (PROJECT GUIDE)

Mr. Sachin Kr. Kanodia (HEAD)

SEMICONDUCTOR TECHNOLOGIESVEDANT

EDUCATION AND TRAINING CENTRE

Page 4: Fire Alarm Controller Report

PREFACE

The evolution of Very large scale integration (VLSI) technology has developed to the

point where millions of transistors can be integrated on a single die or “chip” where

integrated circuits once filled the role of subsystem component partitioned at analog-

digital boundaries. They now integrate complete systems on a chip by combining both

analog-digital functions. Complementary metal oxide semiconductors technology has

been the mainstay in mixed signal implementations because it provides density and

power savings on the digital side, and a good mix of components for analog design.

Due in part to the regularity and granularity of digital circuit computer aided design

(CAD) methodologies have been very successful in automating the design of digital

systems given a behavioral description of the function desired. Such is not the case for

analog circuit design. Analog design still requires a “hands on“ design approach in

general. Moreover many of the design techniques used for discrete circuits are not

applicable to the design of analog /mixed –signal VLSI circuits. It is necessary to

examine closely the design process of analog circuit and to identify those principles that

will increase design productivity and the designer’s chances for success.

SEMICONDUCTOR TECHNOLOGIESVEDANT

EDUCATION AND TRAINING CENTRE

Page 5: Fire Alarm Controller Report

TABLE OF CONTENTS

S.No. CONTENT Page no.

1. SEMICONDUCTOR TECHNOLOGIES VEDANT

2. INTRODUCTION TO VLSI

3. INTRODUCTION TO VHDL

4. IEEE LIBRARIES

5. INTRODUCTION OF PROJECT

6. VHDL CODE

7. RTL SCHEMATIC

8. SYNTHESIS REPORT

9. WAVEFORM

10 BIBLIOGRAPHY

SEMICONDUCTOR TECHNOLOGIESVEDANT

EDUCATION AND TRAINING CENTRE

Page 6: Fire Alarm Controller Report

SEMICONDUCTOR TECHNOLOGIES-VEDANT AN ISO 9001:2000 CERTIFIED INSTITUTION

Semiconductor Technologies has always been in sync with the future. It has understood and appreciated the needs of India, its people and its ever-growing industry. Over the last six 20 years tell the saga of VEDANT contribution in leading the national effort in the vital areas of microelectronics.

M/s Semiconductor Technologies-VEDANT is India’s premier VLSI Design & Embedded System Design organization since 2002. While VEDANT is India’s pioneer in the field of VLSI Design & Embedded System Design and Testing. VEDANT is providing Education & Training on VLSI Design & Embedded System Design through ‘state-of-the-art’ lab facilities, equipped with the Industry Standard tools. VLSI Design / Embedded Systems Design Engineer design such Silicon chips…making a career in VLSI Design / ESD is highly respected & rewarding one. Furthermore we would like to bring in your notice that VEDANT is a member of “Indian Semiconductor Association” as well. Semiconductor Technologies-VEDANT (Now an ISO 9001: 2000 Certified Institution) is center for the training crafted in VLSI/ESD education module followed with VLSI Design software along with the FPGA programming & 8051 Microcontroller kit.

SEMICONDUCTOR TECHNOLOGIESVEDANT

EDUCATION AND TRAINING CENTRE

Page 7: Fire Alarm Controller Report

VEDANT

VEDANT (VLSI design and training) is one of the prestigious projects of SCL, a

pioneer with vertically integrated facility in the country.

SCL VEDANT program covers the complete spectrum of VLSI design inclusive of “front

end”, “back end” and provides of exposure to the IC fabrication process. Industry

standard CAD tools are used for the purpose of training backed up by project work under

the guidance of experts.

VEDANT (LUCKNOW CENTER) is the institute, which provides training in VLSI

design to students. The working environment is concentrated on front-end design

process. It runs two programs ‘PG diploma in VLSI designing of four months and

certificate course of two months. It also provides Summer & Winter Training in VLSI

Design and Embedded System.

It has an advanced lab which is equipped with latest industry standard Electronic

Design Automation (EDA) and FPGA tools and 8051 Development Kits inclusive of

Model Sim 6.5c

Xilinx ISE tools (Xilinx 8.2i and Xilinx 12.4i)

FPGA Kit

CPLD kit

8051 Development Kit

Keil Software

Flash Magic (Rom burning)

SEMICONDUCTOR TECHNOLOGIESVEDANT

EDUCATION AND TRAINING CENTRE

Page 8: Fire Alarm Controller Report

INTRODUCTION TO VLSI

For any given design, if the architecture of the fixed LSI and VLSI blocks suit the application then the design time is considerably shortened. When a one-chip microprocessor is not quite suitable, micro programmable architectures can often provide sufficient customization.

Micro programmable architectures, such as bit-slice, allow a closer control over the architecture but not total control. The basic building blocks are still designed by the chip manufacturer for generic applications. Bit-slice architectures include interruptible sequencers and 32-bit ALUs.

The customization of the bit-slice modules to an application is done through customer-designed module interconnection, the implemented commands and their sequences. The commands or instruction set is called the micro-program for the design. ASIC (VLSI, VHLSI)

The 1980s saw the acceptance of ASICs (Application Specific Integrated Circuits), VLSI devices large enough to allow designers to implement architectures that were suited to solving the design problem rather than forcing one architecture to solve everything. It was the natural extension to the bit-slice architectures, where some control of architecture was possible through microprogramming but where the basic building blocks were fixed designs.

Not far behind the ASIC and ASIC developments, multimedia and design integration saw a need to incorporate analog functions into digital systems. For years the trend had been away from analog design as a chosen career and now there was a shortage of design engineers. First came massive re-training of internal staff as companies struggled to cope. Then came the creation of Electrically Programmable Analog Circuit (EPAC) and related devices.

Application- specific solutions also includes the standard product mix where the market for a device is so large that product are developed specific to a mass application. PCI controllers is an example where one interface controller is targeted to handle the interface for many devices and device types, the control problem tailored to the device via programming.

The application-specific customization of the design solution allows the designer to have the creative power of a gate-level breadboard design while keeping the production advantages of VLSI.

Over the years, there has been an evolution of the universal building blocks used by logic circuit designers. In the mid-1960s, there were SSI gates; NAND, NOR, EXOR, and NOT or INVERT. In the early 1970s, MSI blocks, registers, decoders, multiplexers, and other blocks made their appearances. In the late 1970s, ALUs (arithmetic logic units) with on-board scratchpad registers, interrupt controllers, micro program sequencers, ROMs/PROMs, and other LSI devices up to and including a complete one-chip microprocessor (control, ALU and registers) became readily available. (And from this the PC was born.)

SSI (small scale integration) is defined here to include chips containing approximately 2-10 gates. MSI (medium scale integration) is used for chips containing 20-100 gates. LSI (large scale integration) ships contain 200-1000 gates, with the upper

SEMICONDUCTOR TECHNOLOGIESVEDANT

EDUCATION AND TRAINING CENTRE

Page 9: Fire Alarm Controller Report

limit continually extending as VLSI (very large scale integration) became a reality. In the mid-1980s, ASIC (application-specific integrated circuits) ranged from 1000 gates to 20,000 gates (bipolar technology) or 200,000 (CMOS technology).

SEMICONDUCTOR TECHNOLOGIESVEDANT

EDUCATION AND TRAINING CENTRE

Page 10: Fire Alarm Controller Report

INTRODUCTION TO VHDL

Excel VHDL is a user friendly windows based package which encapsulates the powerful Simily VHDL engine.

A typical VHDL source file contains zero or more design units. Examples of design units are entity, architecture, package, etc. When a VHDL source file is compiled, the results of successful compilation are stored in a library .So, in effect; the design units contained within the VHDL source file are placed in a library.

A design unit that has been compiled into one library can reference other designs units in any other library through the use of clauses and library statements.

In VHDL, the current working library is always called “work”. When using a VHDL compiler or simulator, there is always a concept of a current working library. If no particular library is specified as a current working library, the current working library is assumed to be “work”. You can associate the “work” library with any other library.

There are two kinds of design units: Primary and Secondary design units. The design units of type entity, package and configuration are primary design units. Design units or type architecture and “package body” are secondary design units. Secondary design units are always associated with a primary design unit. Secondary units typically contain the implementation of their primary units.

SIMPLE RULES TO REMEMBER

All primary units in a given library must have unique names. Note: VHDL language actually allows the entity to have the same name, as one of its configurations but VHDL Similar requires that all primary units have unique names in a given library. All secondary units for a given primary unit must also be named uniquely. A primary design and its associated design unit must both reside in the same library.

SEMICONDUCTOR TECHNOLOGIESVEDANT

EDUCATION AND TRAINING CENTRE

Page 11: Fire Alarm Controller Report

IEEE LIBRARIES

There is a VHDL standard library with a special name “std”. This library and its contents (the packages standard and textio) are built into the tools and can’t be controlled. This also means that you can’t have user defined library called “std”.

The other IEEE libraries are stored I lib folder of the installation directory. The source code is present in IEEE folder and the compiled code is present in the IEEE.SYM folder. You may view the source code folder to see the definitions for use in your code.

SEMICONDUCTOR TECHNOLOGIESVEDANT

EDUCATION AND TRAINING CENTRE

Page 12: Fire Alarm Controller Report

Introduction to FIRE ALARM CONTROLLER

An automatic fire alarm system is designed to detect the unwanted presence of fire by monitoring environmental changes associated with combustion. In general, a fire alarm system is classified as either automatically actuated, manually actuated, or both. Automatic fire alarm systems are intended to notify the building occupants to evacuate in the event of a fire or other emergency, report the event to an off-premises location in order to summon emergency services, and to prepare the structure and associated systems to control the spread of fire and smoke.Safety methodologies:

Magnetic Smoke Door Holders: Wall or floor mounted solenoids or electromagnets controlled by a fire alarm system or detection component that magnetically secures spring-loaded self-closing smoke tight doors in the open position. Designed to de-magnetize to allow automatic closure of the door on command from the fire control or upon failure of the power source, interconnection or controlling element. Stored energy in the form of a spring or gravity can then close the door to restrict the passage of smoke from one space to another in an effort to maintain a tenable atmosphere on either side of the door during evacuation and fire fighting efforts in buildings.

Duct Mounted Smoke Detection: Smoke detection mounted in such a manner as to sample the airflow through duct work and other plenums specifically fabricated for the transport of environmental air into conditioned spaces. Interconnection to the fan motor control circuits are intended to stop air movement, close dampers and generally prevent the recirculation of toxic smoke and fumes produced by fire into occupiable spaces.

SEMICONDUCTOR TECHNOLOGIESVEDANT

EDUCATION AND TRAINING CENTRE

Page 13: Fire Alarm Controller Report

Emergency Elevator Service: Activation of automatic initiating devices associated with elevator operation are used to initiate emergency elevator functions, such as recall of associated elevator cab(s). Recall will cause the elevator cabs to return to the ground level for use by fire service response teams and to ensure that cabs do not return to the floor of fire incidence. Phases of operation include primary recall (typically the ground level), alternate/secondary recall (typically a floor adjacent to the ground level – used when the initiation occurred on the primary level), illumination of the 'fire hat' indicator when an alarm occurs in the elevator hoistway or associated control room, and in some cases shunt trip (disconnect) of elevator power (generally used where the control room or hoistway is protected by fire sprinklers).

There are many types of fire alarm systems each suited to different building types and applications. A fire alarm system can vary dramatically in both price and complexity, from a single panel with a detector and sounder in a small commercial property to an addressable fire alarm system in a multi-occupancy building. Systems have to protect both buildings and occupants.

The categories of fire alarm systems are L if they are designed to protect life, P to protect buildings and M if they are manual systems.

SEMICONDUCTOR TECHNOLOGIESVEDANT

EDUCATION AND TRAINING CENTRE

Page 14: Fire Alarm Controller Report

VHDL Code for Fire Alarm Controller

library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;use work.pk.all;---- Uncomment the following library declaration if instantiating---- any Xilinx primitives in this code.--library UNISIM;--use UNISIM.VComponents.all;

entity alarm_counter is Port ( clk : in STD_LOGIC; rst : in STD_LOGIC; sensor : in STD_LOGIC_VECTOR(0 TO 1); alarm ,door,water_sup: out STD_LOGIC; disp : out STD_LOGIC);end alarm_counter;architecture Behavioral of alarm_counter isbeginprocess(clk,rst,sensor)beginif clk'event and clk='1' then if rst='1'then alarm<='0';

else alarm<=alarm_f(sensor(0),SENSOR(1));

door<=e_door(sensor(0),SENSOR(1)); water_sup<=water_supply(SENSOR(1)); disp<=display_f(sensor(0),SENSOR(1));

end if;end if;end process;end Behavioral;

SEMICONDUCTOR TECHNOLOGIESVEDANT

EDUCATION AND TRAINING CENTRE

Page 15: Fire Alarm Controller Report

TOP MODULE OF Fire Alarm Controller

Internal Schematic diagram of Fire Alarm Controller

SEMICONDUCTOR TECHNOLOGIESVEDANT

EDUCATION AND TRAINING CENTRE

Page 16: Fire Alarm Controller Report

Synthesis Report of Fire Alarm Controller

Final ResultsRTL Top Level Output File Name : alarm_counter.ngrTop Level Output File Name : alarm_counterOutput Format : NGCOptimization Goal : SpeedKeep Hierarchy : YESTarget Technology : Automotive CoolRunner2Macro Preserve : YESXOR Preserve : YESClock Enable : YESwysiwyg : NO

Design Statistics# IOs : 8

Cell Usage :# BELS : 9# AND2 : 2# GND : 1# INV : 5# OR2 : 1# FlipFlops/Latches : 3# FD : 1# FDCE : 2# IO Buffers : 8# IBUF : 4# OBUF : 4=========================================================================CPU : 7.55 / 8.16 s | Elapsed : 7.00 / 8.00 s -->

Total memory usage is 154956 kilobytes

Number of errors : 0 ( 0 filtered)Number of warnings : 2 ( 0 filtered)Number of infos : 5 ( 0 filtered)

SEMICONDUCTOR TECHNOLOGIESVEDANT

EDUCATION AND TRAINING CENTRE

Page 17: Fire Alarm Controller Report

Waveform Of Fire Alarm Controller

SEMICONDUCTOR TECHNOLOGIESVEDANT

EDUCATION AND TRAINING CENTRE

Page 18: Fire Alarm Controller Report

BIBLIOGRAPHY

Following is the list of books from which help has been taken for the completion of this project.

1 VHDL-PRIMER J. Bhasker

2 VHDL PROGRAMMING Douglas L. Perry

2 MODERN DIGITAL ELECTRONICS R. P. Jain

3 DIGITAL DESIGN MorisMano

SEMICONDUCTOR TECHNOLOGIESVEDANT

EDUCATION AND TRAINING CENTRE