東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供...

68
CORP IR / 2018.08.03 1 201883東京エレクトロン株式会社 Corporate Update

Transcript of 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供...

Page 1: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 1

2018年8月3日

東京エレクトロン株式会社

Corporate Update

Page 2: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 2

3

11

23

30

35

39

43

49

55

1. 会社概要

2. 中期経営計画達成に向けた取り組みと進捗

3. 2019年3月期 事業環境と業績予想

Appendix 1:EUVリソグラフィ導入の効果

Appendix 2:多様化する半導体技術

Appendix 3:成膜装置

Appendix 4:エッチング装置

Appendix 5:FPD製造装置

Appendix 6:財務データ

内容

Page 3: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 3

会社概要

Page 4: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 4

技術サポートを付加価値とする専門商社自社製品の開発・製造

メーカーとしての地位を築くグローバリゼーション 生産革新

TEL、市場変化への対応による成長の軌跡

0

3,000

6,000

9,000

12,000

15,000

65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 00 01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 19(E)

(億円)

(FY)

TEL連結売上高

1980年東証2部上場1984年東証1部上場

コーポレートガバナンスの強化最初のJV

(TEL-Thermco)設立

コンシューマー向け製品の輸出事業から撤退

海外でのダイレクトオペレーションを開始

Semiconductor applications

Mainframe computer

Mobile phonePC

Consumer electronics, etc.

新たな成長への挑戦

Page 5: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 5

売上高と営業利益の推移

(億円)

4M DRAM 供給過剰 • IT バブル崩壊•ロジックファウンドリの過剰投資

•アジア経済危機• 64M DRAM 供給過剰

•世界経済危機•メモリの過剰投資

(FY)

•欧州債務問題、新興国の成長鈍化• PC、モバイル等の需要低迷

7,238

9,060

6,687

4,972 6,121

6,131 6,639

7,997

11,307

14,000

16.7%

-4.4%

18.6%

-0.5%

14.6%

2.5%5.3%

14.4%

17.6%

19.5%

24.9%26.1%

-10%

0%

10%

20%

30%

40%

50%

-3,000

0

3,000

6,000

9,000

12,000

15,000

90 91 92 93 94 95 96 97 98 99 00 01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 19(E)

TEL売上高

営業利益

営業利益率

Page 6: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 6

US$ 1,887B

US$ 420B

US$ 51B

東京エレクトロンの事業領域

10,552億円,

93%

750億円

7%

4億円, 0%

11,307億円

CY2017 世界市場 FY2018 TEL売上高(2017.4~2018.3)

電子機器

半導体

WFE* CAPEX

FPD製造装置(FPD)

半導体製造装置(SPE)

その他

*半導体製造工程には、ウェーハ状態で回路形成・検査をする前工程と、そのウェーハをチップごとに切断し、組立・検査をする後工程があります。半導体前工程装置は、この前工程で使用される製造装置です。また半導体前工程装置は、ウェーハレベルパッケージング用の装置を含んでいます。出所: Gartner, “Forecast: Semiconductor Wafer Fab Equipment (Including Wafer-Level

Packaging), Worldwide, 1Q18 Update” 8 May 2018図はガートナーリサーチに基づき、東京エレクトロンが作成。

Page 7: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 7

87%

26%36%

31%

25%

19%

71%

TEL 主要プロダクト 世界市場シェア(CY2017)

FPDコータ/デベロッパ FPDプラズマエッチング装置

出所(FPD): 当社推定

成膜装置 洗浄装置

ALD

コータ/デベロッパ ドライエッチング装置

38%

CVD

42%

酸化/拡散

半導体製造装置

FPD製造装置 (FY2018)

出所(SPE): Gartner, “Market Share: Semiconductor Wafer Fab Equipment, Worldwide, 2017”, 18 April 2018,

図はガートナーリサーチに基づき、東京エレクトロンが作成。コータ/デベロッパ: Photoresist processing (Track), ドライエッチング装置: Dry etch, 成膜装置: Tube CVD + Atomic

layer deposition tools + Oxidation/diffusion furnaces + Nontube LPCVD, ALD: Atomic layer deposition tools, CVD: Tube CVD + Nontube LPCVD, 酸化/拡散: Oxidation/diffusion, 洗浄装置: Wet stations + Spray processors + Other clean

process

Page 8: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 8

半導体製造装置メーカートップ10

10.61

8.13

7.24

7.16

2.84

1.56

1.39

1.15

1.03

0.96

Applied Materials

Lam Research

東京エレクトロン

ASML

KLA-Tencor

SCREEN

Teradyne

アドバンテスト

日立ハイテクノロジーズ

日立国際電気

CY2017 売上ランキング(Billions of US$)

SCREENセミコンダクターソリューションズ

出所:The Chip Insider Equipment & Emerging Markets (VLSI Research, June 2018)

Page 9: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 9

TELのサステナビリティ(経済価値×社会価値=企業価値を創造)

環境 気候変動、水、生物多様性、環境マネジメント

社会 人権、雇用・労働、健康安全、サプライチェーン、地域社会

ガバナンス コーポレートガバナンス、コンプライアンス、リスクマネジメント

今後も継続して、国連グローバル・コンパクトの10 原則やRBA*行動規範に準拠し、事業活動を通じて社会課題の解決に取り組み、持続可能な開発目標(SDGs)の達成に貢献する

すべてのステークホルダーから信頼される企業へ* Responsible Business Alliance

Page 10: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 10

地球環境への貢献は重要戦略

環境インパクトを低減する技術提案が大きな価値を創出する

TEL製品のエネルギー消費低減

生産されるデバイスの低消費電力実現

TELの価値向上+

Page 11: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 11

中期経営計画達成に向けた取り組みと進捗

Page 12: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 12

$37B

$51B$56B~$58B $61B $62B $63B

CY'16 CY'17 CY'18* CY'19* CY'20* CY'21** 当社予測

WLP

DRAM

不揮発性メモリ

ロジックファウンドリ

ロジック & その他

(MPU、AP、その他)

半導体前工程製造装置(WFE)市場の展望

WFE市場の成長加速

$60B以上へ拡大

将来の成長ドライバー

PC・インターネット・モバイル+

VR/AR/MR* ・IoT・AI・RPA**・機械学習ビッグデータ・自動運転・ブロックチェーン

* VR/AR/MR:仮想現実/拡張現実/複合現実 ** RPA:ロボットによる処理自動化

半導体用途の広がりにより、装置市場は一段上のフェーズへ

Page 13: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 13

14/16nm 10nm 7nm

ロジック

4X 6/7X 9X

3D NAND

2Z 1X 1Y

DRAM

高まる半導体製造装置の付加価値

新たなアプリケーションの登場と技術難易度の高まりが、半導体製造装置メーカーの事業機会を拡大

月産10万枚当たりWFE投資額(Greenfield, 当社予測)

~$7B ~$7B

~$18B

Page 14: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 14

WFEの3割を中国向けが占めると予想(CY’20)

– 顧客の新工場計画10~15件(CY’18~CY’20)

高付加価値製品と総合サポート力により、高いシェアとサービスビジネスを獲得

強固なビジネス基盤を構築

– エンジニアの採用も順調(CY’16比で3倍)

– トレーニングセンターを拡充

中国ビジネス拡大に向けた対応

北京

上海

無錫

成都 武漢

昆山

廈門

西安

南京

Head Office

Branch

Manufacture

Service Site

0

500

1,000

FY'15 FY'16 FY'17 FY'18 FY'19

中国の従業員数

中国における事業展開1998年に上海オフィス開設

大連

合肥

深圳

成長市場において、着実にビジネス基盤を構築

(人)

Page 15: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 15

SPE事業戦略:エッチング装置

DRAMは計画通り進捗、継続して3D NAND HARCとロジックに注力

*HARC(High aspect ratio contact)工程:高度な加工技術を要する深穴形成工程

ワードライン分離(スリット)

チャネル(メモリホール)

多段コンタクト

3D NAND HARC* 工程DRAM

パターニング一括エッチングで顧客の生産コスト低減を実現

圧倒的な生産性と加工精度で差別化9X/12X世代でのシェア向上に注力

CY’18 CY’19 CY‘20

6X/9X 9X 9X/12X

工程簡素化

ロジック

絶縁膜工程で高シェアを維持

7nm以細のパターニングで工程獲得

キャパシタ 工程(HARC)

Cu配線(ダマシン化)

キャパシタ

多層配線

FinFET

Cu配線

市場シェア CY’15(実績) CY’16(実績) CY’17(実績) ・・・ CY’20(目標)

エッチング装置 21% 23% 26% 30%以上

Page 16: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 16

SPE事業戦略:成膜装置

さらなる微細化や次世代半導体に対応した新技術で収益拡大を図る

CVD装置ALD装置

セミバッチ装置で、微細化・3D化で求められる高品質膜の形成と高生産性を実現

メモリ向けに圧倒的な生産性を有するバッチ装置で差別化

微細化対応した高品質メタル成膜を実現

セミバッチALD装置

NT333™

ALD装置市場

バッチ装置

TELINDY PLUS™

メタル成膜装置

Triase+™

市場シェア CY’15(実績) CY’16(実績) CY’17(実績) ・・・ CY’20(目標)

成膜装置 38% 37% 36% 40%以上

出所: Gartner, “Market Share: Semiconductor Wafer Fab Equipment, Worldwide, 2016”, 30 March 2017, 表はガートナーリサーチに基づき、東京エレクトロンが作成。成膜装置: Tube CVD + Atomic layer deposition tools + Oxidation/diffusion furnaces + Nontube LPCVD

CY'14 CY'15 CY'16 CY'17 CY'18 CY'19 CY'20

CAGR >15%

当社予測

900億円

2,100億円

Page 17: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 17

枚葉洗浄 CELLESTA™シリーズの売上拡大– バックサイド・ベベル洗浄*およびポストエッチクリーニング処理のパターン倒壊抑制乾燥技術を軸に、アプリケーションを拡大

バッチ洗浄による3D NANDキー工程の獲得– 緻密な制御性を必要とする窒化膜除去やメタルエッチ、ポリシリコンエッチングを高品質かつ高生産性で提供

コータ/デベロッパのBest Known Methodを洗浄事業に活用– 開発の一元化による先端技術の共有とノウハウの取り込み

SPE事業戦略:洗浄装置

枚葉洗浄装置

CELLESTA™

バッチ式洗浄装置

EXPEDIUSTM

* ベベル洗浄: ウェーハ外周部の膜除去処理

市場シェア CY’15(実績) CY’16(実績) CY’17(実績) ・・・ CY’20(目標)

洗浄装置 18% 20% 25% 27%以上

Page 18: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 18

フィールドソリューション(FS)

装置メーカーの強みを生かし、中古装置/改造およびパーツ/サービスの両セグメントで収益向上

IoTにドライブされる新たな顧客ニーズに対応

– 新しいアプリケーションに対応した改造・再製作装置の提供

顧客の生産性向上に寄与

– リモート接続による高付加価値サービスの提供

(納入済み装置66,000台)

事業方針

930 1,050 1,150

1,500 1,650

1,900 770

800 930

1,010

1,150

1,500

0

1000

2000

3000

4000

FY'15 FY'16 FY'17 FY'18 FY'19

フィールドソリューション売上高

3,400億円

1,700

中古装置・改造

パーツ・サービス

1,8502,080

2,510

WFE$62Bケース

FY’21(目標)

2,800

FY’19(予想)

Page 19: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 19

新財務モデル(FY2021) (億円)

FY2018(実績)

FY2019(予想)

FY2021(計画)

$51B

14%

$58B

15%

$55B

18%

$62B

18%

売上高 11,307 14,000 15,000 17,000

SPE 10,552 12,880 14,000 16,000

FPD 750 1,120 1,000 1,000

売上総利益下段:売上総利益率

4,75042.0%

5,98042.7%

6,50043.3%

7,45043.8%

販管費下段:売上高販管費比率

1,93817.1%

2,32016.6%

2,52016.8%

2,69015.8%

営業利益下段:営業利益率

2,81124.9%

3,66026.1%

3,98026.5%

4,76028.0%

親会社株主に帰属する当期純利益

下段:当期純利益率

2,04318.1%

2,70019.3%

2,92019.5%

3,48020.5%

革新的な技術力と独創的な提案力で企業価値向上を実現するさらに経営効率を上げ、高い収益力と市場変動耐性を確保する

WFE市場シェア

Page 20: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 20

SPE、FPD主力製品の売上総利益率を向上

– 拡大する市場へむけて、新製品をタイムリーに投入

– 品質改善により、製品原価率を引き下げる

売上総利益、販管費(売上高17,000億円のケース)

FY2018(実績)

FY2019(予想)

FY2021(計画)

増加率(FY’18-FY’21)

売上総利益

下段:売上総利益率

4,75042.0%

5,98042.7%

7,45043.8%

+57%+1.8pts

(億円)

成長分野における積極的な投資を行うと同時に、販管費・開発費の適正化を図る

FY2018(実績)

FY2019(予想)

FY2021(計画)

増加率(FY’18-FY’21)

販管費

下段:売上高販管費比率

1,93817.1%

2,32016.6%

2,69015.8%

+39%-1.3pts

(億円)

Page 21: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 21

395

217

127 131 133

206

456510 400~500

241 266 248208 192 178

206260

350~370

0

200

400

600

FY’12 FY’13 FY’14 FY’15 FY’16 FY’17 FY’18 FY’19

(予想)

FY’21

(計画)

815 732 786

713 762 838

971

1,200

1,300~1,450

0

500

1,000

1,500

FY'12 FY'13 FY'14 FY'15 FY'16 FY'17 FY'18 FY'19

(予想)

FY'21

(計画)

研究開発費および設備投資計画(億円)

研究開発費

(億円)

設備投資 減価償却費

さらなる飛躍に向けて、積極的な成長投資を実施

Page 22: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 22

売上債権回転日数

–現状 52日 ⇒ 既に目標達成

在庫回転日数

–現状 111日 ⇒ 目標 95日

ROE(自己資本利益率)

–現状 29% ⇒ 目標 30~35%

資産・資本効率(売上高17,000億円モデル)

EPSとROE

ROE = 親会社株主に帰属する当期純利益÷期首・期末平均自己資本 x 100

(円)

461

702

1,245

13.0%

19.1%

29.0%

0

500

1,000

1,500

2,000

2,500

FY'16 FY'17 FY'18 FY'21

(目標)

EPS ROE

引き続き、資産・資本効率を重視していく

30~35%

Page 23: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 23

2019年3月期 事業環境と業績予想

Page 24: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 24

FY2018(2017年4月~2018年3月)ハイライト

SPE*需要の拡大と注力分野におけるシェア拡大により、前期比+41%の増収

営業利益・親会社株主に帰属する当期純利益が過去最高を大きく更新

売上高と売上総利益率

6,121 6,131 6,639

7,997

33.0%

39.6% 40.2% 40.3%42.0%

0

4,000

8,000

12,000

FY'14 FY'15 FY'16 FY'17 FY'18

売上高(億円)

売上総利益率

322

881 1,167

1,556

2,811

5.3%

14.4%

17.6%19.5%

24.9%

0.0%

5.0%

10.0%

15.0%

20.0%

25.0%

30.0%

0

1,000

2,000

3,000

FY'14 FY'15 FY'16 FY'17 FY'18

営業利益(億円)

営業利益率

営業利益と営業利益率親会社株主に帰属する当期純利益とROE

* SPE: 半導体製造装置

11,307

-194

718 778

1,152

2,043

-3.3%

11.8%13.0%

19.1%

29.0%

-200

800

1,800

FY'14 FY'15 FY'16 FY'17 FY'18

親会社株主に帰属する

当期純利益(億円)

ROE

当期純利益(億円)

Page 25: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 25

►半導体前工程製造装置(WFE)* の設備投資

CY2018の投資額は、旺盛なメモリ向け需要に牽引され、前年比10~15%

程度の成長を見込む

► FPD製造装置 TFTアレイ工程**向け設備投資

CY2018の装置需要は、モバイル用途の中小型パネル向け設備投資は調整も、第10.5世代向けが大きく拡大し、前年同等の高水準の投資が継続

装置市場は、IoTと次世代技術への活発な投資により成長が継続

事業環境(2018年7月時点での見方)

* 半導体前工程製造装置(WFE; Wafer fab equipment):半導体製造工程には、ウェーハ状態で回路形成・検査をする前工程と、そのウェーハをチップごとに切断し、組み立て・検査をする後工程があります。半導体前工程製造装置は、この前工程で使用される製造装置です。また半導体前工程製造装置は、ウェーハレベルパッケージング用の装置を含んでいます。

** TFTアレイ工程:ディスプレイを駆動する電気回路機能を持つ基板を製造する工程

Page 26: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 26

CY2018 アプリケーション別のWFE市場と事業機会

DRAM:前年比60~65%増加

– 設備投資:投資額の7割が新規工場向け、また8割が1X/1Ynm世代向け

– 牽引役 :サーバの平均搭載容量は前年比35%増加

– 事業機会:一括パターニング、配線工程で差別化

不揮発性メモリ:前年同水準~5%増加

– 設備投資:最先端の9X層数世代向けが5割

– 牽引役 :データセンタ・PC向けSSD需要の拡大

– 事業機会:高付加価値のエッチング・洗浄工程で差別化

ロジック/ファウンドリ:前年比10%減少~同水準

– 設備投資:10nm以細の世代向けが5割

– 牽引役 :高性能化、省エネ化の需要

– 事業機会:難易度の高まるパターニングでのビジネス拡大

CY'17 CY'18*

WLP

DRAM

不揮発性メモリ

ロジックファウンドリ

ロジック&その他

* 当社予測

アプリケーション別WFE市場

$51B

$56B~$58B

Page 27: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 27

FY2018(実績)

FY2019(予想)

H1 H2 通期通期

対前年増減

売上高 11,307 6,900 7,100 14,000 +23.8%

SPE 10,552 6,340 6,540 12,880 +22.1%

FPD 750 560 560 1,120 +49.2%

売上総利益下段:売上総利益率

4,75042.0%

2,88041.7%

3,10043.7%

5,98042.7%

+1,229+0.7pts

販管費 1,938 1,150 1,170 2,320 +381

営業利益下段:営業利益率

2,81124.9%

1,73025.1%

1,93027.2%

3,66026.1%

+848+1.2pts

税金等調整前当期純利益 2,752 1,730 1,930 3,660 +907親会社株主に帰属する当期純利益 2,043 1,280 1,420 2,700 +656

1株当たり当期純利益 (円) 1,245.48 779.95 - 1,645.20 +399.72

FY2019(2018年4月~2019年3月)業績予想

市場成長以上の売上拡大、3期連続の過去最高益を見込むSPE:半導体製造装置、FPD:フラットパネルディスプレイ製造装置

(億円)

Page 28: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 28

30% 22% 16%23%

11% 10% 9%7%

37% 43% 42% 37%

22%

25%33% 33%

3,740

4,405

5,040 5,140

0

1,000

2,000

3,000

4,000

5,000

FY'18 H1

(実績)

FY'18 H2

(実績)

FY'19 H1

(予想)

FY'19 H2

(予想)

DRAM

不揮発性メモリ

FY2019 SPE部門新規装置売上予想

(億円) ロジックファウンドリ

ロジック & その他

グラフは新規装置の売上高における構成比を示しています。

フィールドソリューション売上高を含んでいません。アプリケーション別売上構成比

17% 15%4%

12%

49%37%

30%

36%2,197

2,843

0

1,000

2,000

3,000

FY'19 Q1

(実績)

FY'19 Q2

(予想)

(億円)

Q1実績は計画通り、Q2売上計画の6割以上が出荷済み今後も高水準のメモリ向け投資が継続

Page 29: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 29

FY2019 配当予想

連結配当性向:

但し、1株当たり年間配当金150円を下回らない

2期連続して当期利益を生まなかった場合は、配当金の見直しを検討する

50%

自己株式の取得: 機動的に実施を検討

当社の株主還元策

0

300

600

900

FY'15 FY'16 FY'17 FY'18 FY'19

(予想)

143円237円

(円)

中間390円

期末433円

624円

1株当たり配当金は、前期比32%の増配を予定

1株当たり配当金

352円

823円

Page 30: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 30

Appendix 1:EUVリソグラフィ導入の効果

Page 31: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 31

EUVの採用は、顧客の直面する高度な技術課題(位置ずれ)を解決し、半導体と製造装置産業全体にとって非常にポジティブな効果をもたらす

– 微細化の促進

– 歩留まり向上による次世代への投資加速

EUVによる微細化の促進が、当社製品の更なる差別化と収益機会を創出する

– コータ/デベロッパのさらなる市場シェア向上

– エッチ・成膜・洗浄装置の需要拡大

– 自己整合型パターニングの進化による差別化

– 当社製品ラインアップを生かしたプロセスインテグレーションによる事業拡大

EUVリソグラフィ導入の効果

Page 32: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 32

EUVによる高度な技術課題(位置ずれ)の解決

1

12

33 masks

Too close

Closer

Too far

1 mask

Cut

3回露光: (Litho + Etch) x 3 歩留まり低下

歩留まり向上

位置ずれ解消

ロジックの構造図

EUV導入

従来の露光

EUV露光

11

11

Line

Space

自己整合型マルチパターニング (SAMP)

(エッチと成膜を多数使用)

LELELE = (Litho-Etch)3

(エッチと成膜の使用は限定的)

Step1: Line/Space

Step2: Cut

1回露光: (Litho + Etch) x 1

各露光プロセスごとに位置ずれ発生

Page 33: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 33

ロジックコンタクト向け (Litho-etch)n パターニング(Cut)

• PMD dep

• Hard mask1 dep

• Hard mask2 dep

• Lithography1

• Contact HM2 etch1 short etch time

• Lithography2

• Contact HM2 etch2 short etch time

• Lithography3

• Contact HM2 etch3 short etch time

• Contact HM1 etch short etch time

• Contact PMD etch long etch time

• Post etch clean

• Barrier metal Ti/TiN dep

• Contact plug W dep

• Contact plug W CMP

Lithography1 Lithography2 Lithgraphy3

Contact PMD etch

Contact HM2

etch1

Contact HM2

etch2

Contact HM2

etch3

Contact HM1 etch Contact plug W CMP

Thicker layer

Higher etch selectivity

(Litho-etch)3パターニング EUV lithography

Contact HM2

etch

(Litho-etch)nパターニング

or

Page 34: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 34

自己整合型マルチパターニング(Line/space)

Mandrel2 etch Sidewall1 dep Sidewall etch back1

Hard mask3 etch Mandrel1 etch Sidewall2 dep Sidewall etch back2

Hard mask1Hard mask2

Mandrel1Hard mask3Hard mask4Mandrel2Hard mask5

𝑝

• Had mask1 dep

• Hard mask2 dep

• Mandrel1 dep

• Hard mask3 dep

• Hard mask4 dep

• Mandrel2 dep

• Hard mask5 dep

• Lithography

• Hard mask5 etch

• Mandrel2 etch

• Sidewall1 dep

• Sidewall1 etch back

• Mandrel2 pull

• Hard mask4 etch

• Hard mask3 etch

• Mandrel1 etch

• Sidewall2 dep

• Sidewall2 etch back

1

2𝑝

SADP

SADP: Self-aligned double patterning

SAQP: Self-aligned quadruple patterning

1

4𝑝

SAQP

リソグラフィ成膜エッチ

Page 35: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 35

Appendix 2:多様化する半導体技術

Page 36: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 36

多様化する半導体技術

MoreMoore

Functional diversificationC

MO

S s

calin

gMore than Moore

Logic with new material

new structure

Advanced packaging

(3DI/WLP)

Emerging

memoryPatterning

Heterogeneous

3DIFlip-Chip

2.5D

Wire-bonding

Si photonics

Homogeneous

DRAM

NAND

STT-MRAM

3D NAND

ReRAM

Strained Si

High-k metal

gate

Cu/ULK

FinFET

Nanowire FETCu/ELK

ArF

Arf-immersion

EUV

DP/MP

NIL, EBDWDSA,

KrF

Now

2017~

InFO

Neuromorphic

CFET

Page 37: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 37

ロジック FEOL/MOL 技術ロードマップ

技術世代

トランジスタ

コンタクト

< 3.5 nm5 nm7 nm10 nm16-14 nm

Nanowire/Nanosheet FET

ダイヤモンド型エピ

ラップ・アラウンド・コンタクト

W Co、その他新材料

FinFET

微細化と性能向上両立のために構造・デザイン・材料が変化、インテグレーションの複雑化が課題

Page 38: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 38

インテグレーションによる機会の創出

出所:Imec presentation at ISPD 2016

洗浄

エッチング

リソグラフィー

成膜

CELLESTA™-i

CLEAN TRACK™

LITHIUS Pro™ Z

TELINDY PLUS™

NT333™

Certas™

Tactras™ Vigus™

EXIM™

CFET(新構造)

Buried power rail(新材料)

微細化を実現する新材料や新構造を提案するためには装置間インテグレーションが不可欠

出所:imec

Sn

Dn

Sp

Dp

Sn

Dn

Sp

Dp

EXPEDIUS™-i

Triase+™

Page 39: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 39

Appendix 3:成膜装置

Page 40: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 40

0

1

2

3

4

5

6

CY'15 CY'16 CY'17 CY'18 CY'19 CY'20 CY'21

成膜装置の市場展望

微細化の継続とデバイス構造の変化により付加価値の高い成膜市場が成長

2.8

4.55.2 5.4 5.9 6.0

37

51

58 61 62 63

8%

9% 9% 9%10% 10%

0%

10%

20%

0

10

20

30

40

50

60

70

2016 2017 2018 2019 2020 2021

($B)

成膜

SAM

構成比

WFE市場に対する当社成膜SAM比率*

NT333™

TELINDY PLUS™

Triase+™

* 当社予測

NAND

DRAM

Logic/Foundry

($B) 成膜SAM アプリケーション別内訳*

CY’16 CY’17 CY’18 CY’19 CY’20 CY’21

Page 41: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 41

次世代熱処理成膜装置

– 高生産性・高効率SLB*プロダクトの投入

– 高精度制御を実現する新コントローラ採用により

機差最小化・稼働率向上に貢献

新型枚葉プラットフォーム

– 省フットプリント、高生産性の実現

– 多様なクラスタプロセス対応

成膜装置:さらなる成長に向けたチャレンジ

新プラットフォームイメージ

高生産性と多様なプロセスのニーズに応えることで既存参入分野におけるシェアを拡大

Diagnostic

* SLB: Super large batch

Tool

Matching

Optimum

Control

新プラットフォームイメージ

Page 42: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 42

メタル成膜装置における新材料の評価

– 微細配線で低抵抗の実現

– 優れた埋め込み性

異方性成膜、選択成長プロセス開発

– ユニークな成膜手法を用いたギャップフィルプロセス、ボトムアッププロセスの実現

成膜装置:新材料、新アプリケーションへの取り組み

TEL/TEL TEL/TEL

Ge(SiGe)

AR: ~ 5:1

CD: 40nm

Depth 200nm

0 5 10 15 20 25

Resis

tivity[u

oh

m c

m]

Thickness[nm]

TiN/Convetional W

TiN/ FFW

TiN/New Material

ギャップフィル ボトムアップ

SiO2

AR: 8:1

CD: 43nm

Depth 331nm

薄膜時の比抵抗比較

成膜過程 成膜後

微細化に対応した新しいアプリケーション開発でSAMを拡大

Page 43: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 43

Appendix 4:エッチング装置

Page 44: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 44

エッチング装置の市場展望

($B)

DRAMの微細化

– パターニング工程増加、銅配線工程増加

3D NANDの多層化

– HARC*工程比率増加

ロジックの微細化と構造の複雑化

– パターニング工程増加、等方性エッチング増加

Tactras™ Vigus™ Certas LEAGA™

WFE市場に対する当社エッチングSAM比率*

エッチング

SAM

構成比

* HARC(High aspect ratio contact)工程:高度な加工技術を要する深穴形成工程

エッチング技術のトレンド

7.411.1

13.0 13.7 14.0 14.3

37

51

58 61 62 63

20%22% 22% 22% 23% 23%

0%

10%

20%

30%

0

10

20

30

40

50

60

70

2016 2017 2018 2019 2020 2021CY’16 CY’17 CY’18 CY’19 CY’20 CY’21

パターニング工程の増加と構造の複雑化によりエッチング装置市場は成長

* 当社予測

Page 45: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 45

ロジックの注力工程とポイント

ロジック

コンタクト

配線工程

ゲート

Fin

・トランジスタ周り3次元構造Nanowire NanosheetFinFET

・微細なコンタクト工程

複雑化するエッチング工程で技術優位性を生かし、新しいニーズに対応

・コンタクト/配線工程 パターニング複雑化

*SAC : Self-aligned contact

素子分離

自己整合コンタクト(SAC*)

重要課題1. 対SiN選択比(SiN削れの最小化)2. 狭い隙間のエッチング抜け性

Page 46: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 46

先端ロジックにおける取り組み①:シリコンエッチング

一般的なICP

エッチャーRLSA™

プラズマエッチャー

RLSA™ プラズマBB

Pro

ce

ss P

erf

orm

an

ce

Stability and Productivity

Optimized Microwave

Conventional

Microwave

新技術の採用

シリコンエッチングにおけるRLSA™プラズマエッチャーの優位点

マスクの角削れ

深さのばらつき非垂直形状

同等の深さ垂直形状

マスクの矩形保持Fin Gate

シリコンエッチングにおいて、プロセス性能および生産性で差別化

トランジスタ周り

Page 47: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 47

従来のエッチング Quasi-ALE*

Self-a

ligned

co

nta

ct

Si-A

RC

etc

h

先端ロジックにおける取り組み②:高選択性エッチング

SiN

SiO2

SiN

Si

-ARC

Desorption

Initial

SiO2

Adsorption

FC film

Activation

Ar + + +

Quasi-ALEとは

需要が高まる高選択性エッチングで新規工程獲得を狙う*ALE(Atomic layer etch):原子層レベルの高選択エッチング技術

Page 48: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 48

先端ロジックにおける取り組み③:ガスケミカルエッチング

Si SiGeSi

N+3N+2N+1

SiGeMin. Fin pitch Min. Fin pitch Min. Fin pitch

ガスケミカルエッチングのアプリケーションの広がり

Nanowire Nanosheet

等方性SiGe

エッチング

Post dummy Si removal Post gate oxide removal Post SiGe removal

Si/SiGe積層構造 Si nanowire

等方性酸化膜エッチング

Footing

ガスケミカルエッチング

ウェットエッチング

No footing

3次元トランジスタで求められる等方性選択エッチング技術で差別化

Page 49: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 49

Appendix 5: FPD製造装置

Page 50: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 50

-10%

-5%

0%

5%

10%

15%

20%

25%

0

200

400

600

800

1,000

1,200

FY'15 FY'16 FY'17 FY'18 FY'19 FY'21

売上高 営業利益率

0

5

10

15

20

25

CY'15 CY'16 CY'17 CY'18 CY'19 CY'20

コータ/デベロッパ ドライエッチ TFTその他

AMOLED CF, Cell他

FPD 中期事業計画

中期目標の営業利益率 20%以上に向かって計画通りの進捗

($B)

Data based on IHS Markit, Technology Group, Display Supply Demand Equipment Tracker Q4 2017.

Results are not an endorsement of Tokyo Electron Limited. Any reliance on these results is at the third party’s

own risk. Visit technology.ihs.com for more details.

TFT装置市場は堅調

FPD装置市場 売上高および営業利益率(億円)

Page 51: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 51

ディスプレイトレンド

サイズ拡大

高解像度化

FHD 4K 8K

有機EL

デザイン性

LCD OLED

TFT

カラーフィルター

バックライト

液晶

TFT

発光層

Flexible, Edge bent, Free format

TV

Mobile, VR 300 ppi 1000 ppi

ディスプレイの技術変化が事業機会を拡大

Page 52: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 52

0

5

10

15

20

CY'16 CY'17 CY'18 CY'19 CY'20

事業機会:第10.5世代装置市場

65インチ TVパネル 8面取りが可能

“65

3,3

70

mm

2,940 mm

G10.5 TFTアレイ工程装置市場($B)

想定以上の投資拡大

差別化技術(大面積プラズマ制御、エア浮上コータ)で高シェア維持

Data based on IHS Markit, Technology Group, Display Supply Demand Equipment Tracker Q4 2017.

Results are not an endorsement of Tokyo Electron Limited. Any reliance on these results is at the third

party’s own risk. Visit technology.ihs.com for more details.

顧客の技術ニーズに対応し、市場成長を大幅に上回る売上拡大

Page 53: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 53

事業機会:酸化物半導体・低温ポリシリコン

TFTアレイa-Si

アモルファスシリコン

Metal Oxide

酸化物半導体

LTPS

低温ポリシリコン

構造図

アプリケーション液晶 TV

モニター

有機EL TV

タブレット

スマートフォン(液晶、有機EL)

マスク数 5 6~8 9~13

ドライエッチング

工程

3

a-Si、SiNx

3

SiO、SiNx

~11

SiO、金属

フレキシブル化

+2 工程

OLED工程

(G6 Half Size)+3~4工程

さらに新たなニーズ

求められる技術が高度になり、エッチングの工程数が拡大

Page 54: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 54

事業機会:有機EL TV市場の拡大

有機EL TV台数(千台)

Data based on IHS Markit, Technology Group, Display Long-Term Demand Forecast Tracker Q4 2017.

Results are not an endorsement of Tokyo Electron Limited. Any reliance on these results is at the third

party’s own risk. Visit technology.ihs.com for more details.

有機ELパネル製造用インクジェット描画装置

EliusTM 2500

有機EL TV市場の立ち上がりに向けてインクジェット描画装置で差別化

既存の蒸着方式に比べ圧倒的な材料使用効率

インク材料も各社性能改善

0

2,000

4,000

6,000

8,000

CY'16 CY'17 CY'18 CY'19 CY'20 CY'21

Page 55: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 55

Appendix 6:財務データ

Page 56: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 56

損益状況

1. 当社の主力製品である半導体製造装置及びFPD製造装置の輸出売上は、原則円建てで行われます。一部にドル建ての決済もありますが、受注時に個別に先物為替予約を付し、為替変動リスクをヘッジしています。

2. 利益率および増減率は、1円単位の金額をもとに計算しています。

(億円)

FY2017 FY2018対前年増減率

売上高 7,997 11,307 +41.4%

SPE 7,498 10,552 +40.7%

FPD 493 750 +52.0%

売上総利益下段:売上総利益率

3,22240.3%

4,75042.0%

+47.4%+1.7pts

販管費 1,665 1,938 +16.4%

営業利益下段:営業利益率

1,55619.5%

2,81124.9%

+80.6%+5.4pts

税金等調整前当期純利益 1,491 2,752 +84.6%

親会社株主に帰属する当期純利益 1,152 2,043 +77.4%

1株当たり当期純利益(円) 702.26 1,245.48 +77.4%

研究開発費 838 971 +15.9%

設備投資額 206 456 +120.3%

減価償却費 178 206 +15.4%

Page 57: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 57

1. セグメント利益は、税金等調整前当期純利益です。2. 上記報告セグメントに配分していない全社費用(主に基礎研究又は要素研究等の研究開発費)があります。3. 売上構成比率は外部顧客に対する売上高で算出しています。

94 93

6 7

0%

50%

100%

FY'17 FY'18

7,498

10,552

1,827

3,146 24.4%

29.8%

0%

10%

20%

30%

40%

50%

60%

0

3,000

6,000

9,000

12,000

FY'17 FY'18

セグメント情報

493

750

46

132 9.4%

17.7%

0%

10%

20%

30%

40%

50%

60%

0

250

500

750

1,000

FY'17 FY'18

SPE・FPDともに収益性が大幅に向上

SPE(半導体製造装置) 売上構成比率FPD(フラットパネルディスプレイ製造装置)

SPE

FPD

(億円) (億円)

売上高

セグメント利益

セグメント利益率

売上高

セグメント利益

セグメント利益率

Page 58: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 58

2018年3月期実績

サーバ需要や次世代技術への積極的な

投資を背景に、DRAM・不揮発性メモリ

向けの売上が前期比2倍以上に拡大

ファウンドリ/ロジック向けにおいても、

最先端の世代に加え、28nm以前への投資

が継続

SPE部門新規装置 アプリケーション別売上構成比

25% 24%25%

25%

31%11%20%

28%

40%

30%

17%

24%

4,374

5,503

8,146

0

3,000

6,000

9,000

FY'16 FY'17 FY'18

DRAM

不揮発性メモリ

ロジックファウンドリ

ロジック & その他 (MPU, AP, その他)

(億円)

Page 59: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 59

2018年3月期実績

不揮発性メモリ・マルチプルパターニング

による微細化への投資を背景に、当社注力

分野(エッチ・成膜・洗浄)の売上が拡大

SPE部門新規装置 製品別売上構成比

3% 2% 1%4% 6% 6%10%

11%10%

26%22%

23%29%

34%

40%

28%

25%

20%

4,374

5,503

8,146

0

3,000

6,000

9,000

FY'16 FY'17 FY'18

コータ/デベロッパ

エッチング装置

成膜装置

洗浄装置

ウェーハプローバ

その他

(億円)

Page 60: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 60

1,050 1,150

1,500

800

930

1,010 1,850

2,080

2,510

0

1,000

2,000

3,000

FY'16 FY'17 FY'18

2018年3月期実績

売上は前期比+21%伸長し、

通期 2,510億円

顧客の稼働率上昇により、

パーツ販売が韓国を中心に大きく伸長

フィールドソリューション売上高

(億円)

中古装置・改造

パーツ・サービス

* FY2016・FY2017の売上高は概算値です。

Page 61: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 61

損益状況(四半期)

FY2018 FY2019

Q1 Q2 Q3 Q4 Q1対前年Q4増減率

売上高 2,363 2,805 2,577 3,559 2,955 -17.0%

SPE 2,219 2,657 2,414 3,260 2,804 -14.0%

FPD 143 147 162 297 151 -49.2%

売上総利益下段:売上総利益率

97141.1%

1,18242.1%

1,06041.1%

1,53543.1%

1,22441.4%

-20.2%-1.7pts

販管費 423 497 479 537 500 -6.9%

営業利益下段:営業利益率

54723.2%

68524.4%

58022.5%

99728.0%

72424.5%

-27.4%-3.5pts

税金等調整前当期純利益 551 671 573 956 752 -21.3%

親会社株主に帰属する当期純利益 412 494 407 729 557 -23.6%

研究開発費 211 248 239 270 261 -3.5%

設備投資額 81 117 137 120 96 -19.3%

減価償却費 42 47 55 60 50 -16.6%

1. 当社の主力製品である半導体製造装置及びFPD製造装置の輸出売上は、原則円建てで行われます。一部にドル建ての決済もありますが、受注時に個別に先物為替予約を付し、為替変動リスクをヘッジしています。

2. 利益率および増減率は、1円単位の金額をもとに計算しています。

(億円)

SPE:半導体製造装置、FPD:フラットパネルディスプレイ製造装置

Page 62: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 62

2,219

2,657

2,414

3,260

2,804

661 786

690

1,007

783

29.8% 29.6% 28.6%30.9%

27.9%

0%

10%

20%

30%

40%

50%

60%

0

700

1,400

2,100

2,800

3,500

Q1FY'18

Q2 Q3 Q4 Q1FY'19

セグメント情報(四半期)

1. セグメント利益は、税金等調整前当期純利益です。2. 上記報告セグメントに配分していない基礎研究または要素研究等の研究開発費、およびその他の一般管理費等があります。3. 売上構成比率は外部顧客に対する売上高で算出しています。

143 147 162

297

151

4 22 30

74

24 3.2%

15.4%

19.0%

25.1%

16.2%

0%

10%

20%

30%

40%

50%

60%

0

70

140

210

280

350

Q1FY'18

Q2 Q3 Q4 Q1FY'19

(億円)

SPE(半導体製造装置)

(億円)

94 95 94 92 95

6 5 6 8 5

0%

50%

100%

Q1FY'18

Q2 Q3 Q4 Q1FY'19

SPE

FPD

売上構成比率

売上高

セグメント利益

セグメント利益率

売上高

セグメント利益

セグメント利益率

FPD(フラットパネルディスプレイ製造装置)

Page 63: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 63

Q1

FY’17

Q2 Q3 Q4 Q1

FY’18

Q2 Q3 Q4 Q1

FY’19

日本 178 264 203 266 267 384 351 451 511

北米 170 344 246 254 240 320 291 339 284

欧州 52 66 150 329 253 269 196 250 177

韓国 198 290 333 555 683 1,017 794 1,223 889

台湾 305 582 623 747 458 366 421 443 263

中国 300 240 137 227 267 230 226 318 549

東南アジア・他 103 173 98 53 46 67 132 234 127

SPE部門地域別売上高(四半期)

1,307

1,962 1,793

2,435 2,219

2,657 2,414

3,260

2,804

0

1,000

2,000

3,000

4,000

(億円)

Page 64: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 64

SPE部門新規装置 アプリケーション別売上構成比(四半期)

19%25% 26% 24% 27%

32%26% 19% 17%

32%

32% 34%27%

16%8%

12% 10%4%

31%

31%24%

27%40%

35%41%

43%

49%

18%

12%16%

22%17%

25%21%

28%

30%

883

1,461

1,304

1,853

1,697

2,043

1,817

2,588

2,197

0

500

1,000

1,500

2,000

2,500

3,000

Q1FY'17

Q2 Q3 Q4 Q1FY'18

Q2 Q3 Q4 Q1FY'19

(億円)

DRAM

不揮発性メモリ

ロジックファウンドリ

ロジック & その他

(MPU、AP、その他)

グラフは新規装置の売上高における構成比を示しています。フィールドソリューション売上高を含んでいません。

Page 65: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 65

フィールドソリューション売上高(四半期)

444

524 509

604

544

644621

700

632

0

200

400

600

800

(億円)

Q1

FY’17

Q2 Q3 Q4 Q1

FY’18

Q2 Q3 Q4 Q1

FY’19

SPE売上高 424 500 488 581 522 614 597 672 606

FPD売上高 20 24 20 22 22 29 24 28 25

Page 66: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 66

貸借対照表(四半期)

* 現金同等物:現預金+短期投資等(貸借対照表上の表示は有価証券)

「『税効果会計に係る会計基準』の一部改正」(企業会計基準第28号 2018年2月16日)等をFY2019 Q1会計期間の期首から適用しており、FY2018の実績については当該会計基準等を遡って適用した後の数値を記載しています。

1,022 1,037 1,084 1,143 1,191 162 164 165 158 172

1,042 1,114 1,208 1,259 1,312 263 380 529 690 287

2,666 2,834 3,328

3,440 3,816

1,397 1,428

1,523 1,595 1,513

2,946 3,299

3,012

3,738 3,555 9,500

10,258 10,851

12,027 11,850

Q1FY'18

Q2 Q3 Q4 Q1FY'19

6,551 7,079 7,095 7,715 7,723

2,949 3,179

3,755

4,312 4,127 9,500

10,258 10,851

12,027 11,850

Q1FY'18

Q2 Q3 Q4 Q1FY'19

(億円) (億円)

現金同等物*

売上債権

たな卸資産

その他の流動資産

有形固定資産

負債

純資産

無形固定資産

投資その他の資産

資産 負債・純資産

Page 67: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 67

(百万円)

10年間の主要財務データ

2009年 2010年 2011年 2012年 2013年 2014年 2015年 2016年 2017年 2018年 2009年 2010年 2011年 2012年 2013年 2014年 2015年 2016年 2017年 2018年3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期 3月期

売上高 508,082 418,636 668,722 633,091 497,299 612,170 613,124 663,948 799,719 1,130,728 有利子負債 3,806 5,105 7,996 4,402 3,756 13,531 ― ― ― ―

半導体製造装置 325,383 262,391 511,331 477,873 392,026 478,841 576,242 613,032 749,893 1,055,234 自己資本 518,387 511,818 572,741 586,789 593,032 578,091 639,483 562,369 643,094 767,146

FPD製造装置 88,107 71,361 66,721 69,888 20,160 28,317 32,709 44,687 49,387 75,068 総資産 668,998 696,351 809,205 783,610 775,527 828,591 876,153 793,367 957,447 1,208,705

PV製造装置 3,805 3,617

コンピュータ・ネットワーク 94,207 84,473 90,216 84,867 84,664 100,726 デット・エクイティ・レシオ 0.7% 1.0% 1.4% 0.8% 0.6% 2.3% ― ― ― ―

電子部品 自己資本比率 77.5% 73.5% 70.8% 74.9% 76.5% 69.8% 73.0% 70.9% 67.2% 63.5%

その他 384 410 453 461 448 479 555 6,228 438 425 ROE 1.4% -1.8% 13.3% 6.3% 1.0% -3.3% 11.8% 13.0% 19.1% 29.0%

売上総利益 137,408 108,316 234,758 211,444 158,754 201,892 242,773 267,209 322,291 475,032 営業キャッシュ・フロー 81,030 48,284 83,238 29,712 84,266 44,449 71,806 69,398 136,948 186,582

売上総利益率 27.0% 25.9% 35.1% 33.4% 31.9% 33.0% 39.6% 40.2% 40.3% 42.0% 投資キャッシュ・フロー -160,621 9,613 -35,881 -8,352 -141,769 -19,599 155,737 -150,013 -28,893 -11,833

販売費及び一般管理費 122,697 110,496 136,887 151,001 146,206 169,687 154,660 150,420 166,594 193,860 財務キャッシュ・フロー -46,015 -287 -5,236 -27,334 -10,625 -186 -18,213 -138,600 -39,380 -82,549

営業利益 14,710 -2,180 97,870 60,443 12,548 32,204 88,113 116,788 155,697 281,172

営業利益率 2.9% -0.5% 14.6% 9.5% 2.5% 5.3% 14.4% 17.6% 19.5% 24.9% 42.2 -50.5 401.7 205.0 33.9 -108.3 401.1 461.1 702.3 1,245.5

経常利益 20,555 2,558 101,919 64,046 16,696 35,487 92,949 119,399 157,549 280,737 24 12 114 80 51 50 143 237 352 624

税前利益 9,636 -7,767 99,579 60,602 17,766 -11,756 86,827 106,466 149,116 275,242

親会社株主に帰属する当期純利益 7,543 -9,033 71,924 36,725 6,076 -19,408 71,888 77,891 115,208 204,371 従業員数(人) 10,391 10,068 10,343 10,684 12,201 12,304 10,844 10,629 11,241 11,946

減価償却費 23,068 20,001 17,707 24,197 26,630 24,888 20,878 19,257 17,872 20,619

設備投資額 18,107 14,918 39,140 39,541 21,773 12,799 13,183 13,341 20,697 45,603

研究開発費 60,987 54,074 70,568 81,506 73,248 78,663 71,349 76,286 83,800 97,103

1株当たり当期純利益

1株当たり配当金(円)

Page 68: 東京エレクトロン株式会社 Corporate Update · 改造・再製作装置の提供 顧客の生産性向上に寄与 – リモート接続による高付加価値 サービスの提供

CORP IR / 2018.08.03 68

将来見通しについて

本資料に記述されている当社の業績予想、将来予測などは、当社が作成時点で入手可能な情報に基づいて判断したものであり、経済情勢、半導体/FPD市況、販売競争の激化、急速な技術革新への当社の対応力、安全・品質管理、知的財産権に関するリスクなど、様々な外部要因・内部要因の変化により、実際の業績、成果はこれら見通しと大きく異なる結果となる可能性があります。

数字の処理について

記載された金額は単位未満を切り捨て処理、比率は1円単位の金額で計算した結果を四捨五入処理しているため、内訳の計が合計と一致しない場合があります。

為替リスクについて

当社の主力製品である半導体製造装置及びFPD製造装置の輸出売上は、原則円建てで行われます。一部にドル建ての決済もありますが、受注時に個別に先物為替予約を付し、為替変動リスクをヘッジしています。従って、収益への為替レート変動による影響は極めて軽微です。

IHS Markitのデータについて(50、52、54ページ)

The IHS Markit reports and information referenced herein (the "IHS Markit Materials") are the copyrighted property of IHS Markit Ltd. (“IHS Markit”) and represent data, research,

opinions or viewpoints published by IHS Markit, and are not representations of fact. The IHS Markit Materials speak as of the original publication date thereof (and not as of the date

of this offering document). The information and opinions expressed in the IHS Markit Materials are subject to change without notice and IHS Markit has no duty or responsibility to

update the IHS Markit Materials. Moreover, while the IHS Markit Materials reproduced herein are from sources considered reliable, the accuracy and completeness thereof are not

warranted, nor are the opinions and analyses which are based upon it. To the extent permitted by law, IHS Markit shall not be liable for any errors or omissions or any loss, damage

or expense incurred by reliance on the IHS Markit Materials or any statement contained herein, or resulting from any omission. No portion of the IHS Markit Materials may be

reproduced, reused, or otherwise distributed in any form without the prior written consent of IHS Markit. Content reproduced or redistributed with IHS Markit’s permission must

display IHS Markit’s legal notices and attributions of authorship. IHS Markit and the IHS Markit globe design are trademarks of IHS Markit. Other trademarks appearing in the IHS

Markit Materials are the property of IHS Markit or their respective owners.

Gartnerのデータについて(6、7、16ページ)

本プレゼンテーションにおいてガートナーに帰属するすべての記述は、ガートナーの顧客向けに発行された配信購読サービスの一部として発行されたデータ、リサーチ・オピニオン、または見解に関する東京エレクトロンによる解釈であり、ガートナーによる本プレゼンテーションのレビューは行われておりません。ガートナーの発行物は、その発行時点における見解であり、本プレゼンテーション発行時点のものではありません。ガートナーの発行物で述べられた意見は、事実を表現したものではなく、事前の予告なしに変更されることがあります。

FPD:フラットパネルディスプレイ