Fabrication of Device ...watkins.pse.umass.edu/sites/wrg/files/publications...Supercritical fluids...

9
Introduction Advancements in device technology, in- cluding those enabled by nanotechnology, will soon require the seamless integration of components and features with length scales ranging from nanometers to tens of micrometers on a common platform. While the most immediate example is the fabrication of next-generation processors and memory components using Si wafer technology, other applications, including the fabrication of high-performance sen- sors, photovoltaics, and optoelectronic de- vices, face similar challenges. Technical and economic constraints will favor the devel- opment of high-throughput “bottom-up” processing approaches for pattern and structure generation to define the smallest features. Once defined, the patterned media will require subsequent metalliza- tion, deposition of adjacent layers, and other integration steps to create functional devices. At dimensions below 45 nm, cur- rent approaches are not fully capable of meeting these challenges. In this review, we describe the use of su- percritical fluids (SCFs) as a scalable proc- ess alternative to enable the fabrication of nanostructured devices. Although the pri- mary focus is on device fabrication using Si wafer platforms, many of the tech- niques are relevant to materials proc- essing needs in other fields including catalysis, energy conversion, and separa- tion science. The properties of SCF media and the rationale for their use at the nanoscale are described first. Next, SCF-enabled extensions of “top- down” deposition, etching, and cleaning techniques that can overcome existing lim- itations to device scaling beyond 45 nm are reviewed. A discussion follows on the preparation of device substrates by the 3D replication of pre-organized polymer templates, a rapid and efficient bottom-up approach to well-defined metal oxide and carbon films, which provides complete specifica- tion of film structure and opportunities for direct patterning. In combination, these approaches offer the potential for nanoscale device fabrica- tion using a single platform; however, each can be integrated separately as re- quired in existing Si wafer fabrication flows. We conclude with a brief discussion of nanoparticle and nanowire synthesis in SCFs, which offer promising routes to de- vice components but will require hybrid integration strategies. Supercritical Fluids as Processing Media for Nanostructured Devices A supercritical fluid is simply a material heated and compressed beyond its critical temperature and pressure. Any substance heated beyond its critical temperature (T c ) cannot be liquefied, regardless of the pres- sure applied. By comparison, a vapor held below its critical temperature will con- dense at its vapor pressure, which is below its critical pressure (P c ). Carbon dioxide is the fluid of choice for many ap- plications because it is nonflammable, nontoxic, and exhibits easily accessible critical parameters (P c 7.38 MPa, T c 30.98C); however, other fluids are used as specific requirements dictate (Table I). 1 As a gas is compressed above its critical point, it does not traverse a phase transi- tion but rather exhibits a continuous in- crease in density. As shown in Figure 1a for carbon dioxide, density can be con- trolled through variations in system pres- sure and temperature and can meet or exceed that of liquid solvents. 1 Other physicochemical properties, including viscosity, are also pressure-dependent and generally intermediate to those of the liq- uid and gaseous states (Table II). A com- parison of the surface tensions of water, hexane, and liquid CO 2 as a function of temperature at saturation is shown in Fig- ure 1b. 1 At temperatures above the critical point, surface tension vanishes. Liquid-like densities enable the dissolu- tion of many organic and organometallic compounds in SCFs that can serve as pre- cursors and reagents for subsequent proc- essing steps. For example, Figure 1c shows the solubility of nickelocene in CO 2 at two temperatures as a function of den- MRS BULLETIN • VOLUME 30 • DECEMBER 2005 967 F abrication of Device Nanostructures Using Supercritical Fluids Adam O’Neil and James J. Watkins Abstract Supercritical fluids including carbon dioxide offer a combination of properties that are uniquely suited for device fabrication at the nanoscale.Liquid-like densities, favorable transport properties, and the absence of surface tension enable solution-based proc- essing in an environment that behaves much like a gas.These characteristics provide a means for extending “top-down” processing methods including metal deposition, cleaning, etching, and surface modification chemistries to the smallest device features. The interaction of carbon dioxide with polymeric materials also enables complete structural specification of nanostructured metal oxide films using a “bottom-up” approach in which deposition reactions are conducted within sacrificial, pre-organized templates dilated by the fluid. The result is high-fidelity replication of the template structure in a new material. In particular, block copolymer templates yield well-ordered porous silica and titania films containing spherical or vertically aligned pores that can serve as device substrates for applications in microelectronics, detection arrays, and energy conversion. Finally, the synthesis of nanoparticles and nanowires in supercritical fluids is developing rapidly and offers promise for the efficient production of well-defined materials. In this review, we summarize these developments and discuss their potential for next- generation device fabrication. Keywords: block copolymer, mesoporous, metal deposition, nanoscale, polymer, supercritical fluid. www.mrs.org/publications/bulletin

Transcript of Fabrication of Device ...watkins.pse.umass.edu/sites/wrg/files/publications...Supercritical fluids...

Page 1: Fabrication of Device ...watkins.pse.umass.edu/sites/wrg/files/publications...Supercritical fluids including carbon dioxide offer a combination of properties that are uniquely suited

IntroductionAdvancements in device technology, in-

cluding those enabled by nanotechnology,will soon require the seamless integrationof components and features with lengthscales ranging from nanometers to tens ofmicrometers on a common platform.While the most immediate example is thefabrication of next-generation processorsand memory components using Si wafertechnology, other applications, includingthe fabrication of high-performance sen-sors, photovoltaics, and optoelectronic de-vices, face similar challenges. Technical andeconomic constraints will favor the devel-opment of high-throughput “bottom-up”processing approaches for pattern andstructure generation to define the smallestfeatures. Once defined, the patterned

media will require subsequent metalliza-tion, deposition of adjacent layers, andother integration steps to create functionaldevices. At dimensions below 45 nm, cur-rent approaches are not fully capable ofmeeting these challenges.

In this review, we describe the use of su-percritical fluids (SCFs) as a scalable proc-ess alternative to enable the fabrication ofnanostructured devices. Although the pri-mary focus is on device fabrication usingSi wafer platforms, many of the tech-niques are relevant to materials proc-essing needs in other fields includingcatalysis, energy conversion, and separa-tion science. The properties of SCF mediaand the rationale for their use at thenanoscale are described first.

Next, SCF-enabled extensions of “top-down” deposition, etching, and cleaningtechniques that can overcome existing lim-itations to device scaling beyond 45 nm arereviewed.

A discussion follows on the preparationof device substrates by the 3D replicationof pre-organized polymer templates, arapid and efficient bottom-up approach to well-defined metal oxide and carbonfilms, which provides complete specifica-tion of film structure and opportunities fordirect patterning.

In combination, these approaches offerthe potential for nanoscale device fabrica-tion using a single platform; however,each can be integrated separately as re-quired in existing Si wafer fabricationflows. We conclude with a brief discussionof nanoparticle and nanowire synthesis inSCFs, which offer promising routes to de-vice components but will require hybridintegration strategies.

Supercritical Fluids as ProcessingMedia for Nanostructured Devices

A supercritical fluid is simply a materialheated and compressed beyond its criticaltemperature and pressure. Any substanceheated beyond its critical temperature (Tc)cannot be liquefied, regardless of the pres-sure applied. By comparison, a vapor heldbelow its critical temperature will con-dense at its vapor pressure, which isbelow its critical pressure (Pc). Carbondioxide is the fluid of choice for many ap-plications because it is nonflammable,nontoxic, and exhibits easily accessiblecritical parameters (Pc � 7.38 MPa, Tc �30.98�C); however, other fluids are used asspecific requirements dictate (Table I).1 Asa gas is compressed above its criticalpoint, it does not traverse a phase transi-tion but rather exhibits a continuous in-crease in density. As shown in Figure 1afor carbon dioxide, density can be con-trolled through variations in system pres-sure and temperature and can meet orexceed that of liquid solvents.1 Otherphysicochemical properties, includingviscosity, are also pressure-dependent andgenerally intermediate to those of the liq-uid and gaseous states (Table II). A com-parison of the surface tensions of water,hexane, and liquid CO2 as a function oftemperature at saturation is shown in Fig-ure 1b.1 At temperatures above the criticalpoint, surface tension vanishes.

Liquid-like densities enable the dissolu-tion of many organic and organometalliccompounds in SCFs that can serve as pre-cursors and reagents for subsequent proc-essing steps. For example, Figure 1cshows the solubility of nickelocene in CO2at two temperatures as a function of den-

MRS BULLETIN • VOLUME 30 • DECEMBER 2005 967

Fabrication of DeviceNanostructuresUsing SupercriticalFluidsAdam O’Neil and James J.Watkins

AbstractSupercritical fluids including carbon dioxide offer a combination of properties that are

uniquely suited for device fabrication at the nanoscale. Liquid-like densities, favorabletransport properties, and the absence of surface tension enable solution-based proc-essing in an environment that behaves much like a gas.These characteristics provide ameans for extending “top-down” processing methods including metal deposition,cleaning, etching, and surface modification chemistries to the smallest device features.The interaction of carbon dioxide with polymeric materials also enables completestructural specification of nanostructured metal oxide films using a “bottom-up” approachin which deposition reactions are conducted within sacrificial, pre-organized templatesdilated by the fluid.The result is high-fidelity replication of the template structure in a newmaterial. In particular, block copolymer templates yield well-ordered porous silica andtitania films containing spherical or vertically aligned pores that can serve as devicesubstrates for applications in microelectronics, detection arrays, and energy conversion.Finally, the synthesis of nanoparticles and nanowires in supercritical fluids is developingrapidly and offers promise for the efficient production of well-defined materials. In thisreview, we summarize these developments and discuss their potential for next-generation device fabrication.

Keywords: block copolymer, mesoporous, metal deposition, nanoscale, polymer,supercritical fluid.

www.mrs.org/publications/bulletin

Page 2: Fabrication of Device ...watkins.pse.umass.edu/sites/wrg/files/publications...Supercritical fluids including carbon dioxide offer a combination of properties that are uniquely suited

sity.2 The combination of precursor andreagent solubility, favorable transportproperties, and the absence of surface ten-sion enables solution-based chemistry andprocessing in a supercritical medium thatbehaves much like a gas, providing a situ-ation that is ideal for the fabrication ofnanostructured components. For example,SCF-based deposition, etching, cleaning,and surface modification can be carriedout within the smallest features withoutdamage due to capillary forces, limitationsto wetting or flow in confined geometries,or concerns about residual solvent con-

tamination. SCF processing can be consid-ered a “dry” process, as the solvents dissi-pate completely upon depressurization.Moreover, transport in solution eliminatesprecursor and reagent volatility concernsthat often prove to be limiting in vapor-phase processes.

The interaction of polymers with SCFs,particularly carbon dioxide, also providesunique opportunities for the preparationof nanostructured materials using abottom-up approach, although for differ-ent reasons than those discussed for top-down processing. While most polymers

are insoluble in CO2, pressure-mediatedadjustments in density can be used to pre-cisely control the dilation of polymers andthe distribution of reactants between aninsoluble polymer and the fluid media.The equilibrium-limited sorption of CO2in polystyrene and poly(n-butyl methacry-late) as a function of pressure and temper-ature is shown in Figure 1d.3 The sorptionof modest amounts of the fluid can signif-icantly increase the diffusivity of smallmolecules within the polymer,4 therebyenabling efficient reactions within the di-lated polymer phase. As detailed later,deposition reactions within polymer tem-plates dilated in CO2 provide direct accessto device-quality nanostructured silicate,titania, and carbon films.

Enabling Extensions of Top-DownProcesses Using SupercriticalFluids

The fabrication of microelectronic de-vices using silicon wafer processing is cur-rently conducted almost exclusively bytop-down methods.5 The continuous driveto faster and higher-capacity processors andmemory devices, as well as new media,requires practical access to smaller andsmaller features. Integrated circuits withdevice features of 90 nm are currently inproduction, with 65-nm devices expectedby 2007, 45 nm by 2010, and 32 nm by2013.6 Realization of these goals requiresnot only advancements in lithographyand device patterning, but also resolutionof a number of other roadblock issues.One example is the deposition of metalswithin high-aspect-ratio structures for in-terconnect fabrication in integrated cir-cuits and for electrode deposition indynamic and ferroelectric memory appli-cations. Another challenge remains in thepreparation, treatment, and integration ofporous ultralow-dielectric-constant filmsfor interconnects. These films must be fab-ricated while simultaneously avoidingrisks associated with feature and filmdamage caused by solvent infiltration orcapillary forces during solvent removal. Inrecent years, the use of SCFs has been pro-posed as an enabling process alternativefor semiconductor device fabrication.7–10

Here, we focus on processes that can over-come the limitations of current methodsfor the smallest device features.

Metallization and EtchingThe deposition of metal films within

high-aspect-ratio features is essential forthe fabrication of interconnects, elec-trodes, and barriers for integrated devices.While vapor-phase techniques such aschemical vapor deposition are ideallysuited for these applications in principle,

968 MRS BULLETIN • VOLUME 30 • DECEMBER 2005

Fabrication of Device Nanostructures Using Supercritical Fluids

Table I: Critical Parameters of Selected Solvent Systems.1

Critical Temperature Critical PressureSolvent (�C) (MPa)

Carbon dioxide 30.98 7.38Ethane 32.18 4.87Propane 96.68 4.25Hexane 324.67 3.03Water 373.95 22.06

Figure 1. Properties of supercritical fluid systems. (a) Density of CO2 as a function ofpressure for isotherms at 50�C, 100�C, and 150�C.1 (b) Surface tension of water, hexane,and liquid carbon dioxide as a function of temperature at saturation. Surface tension for allfluids vanishes at the critical point.1 (c) Solubility of nickelocene in supercritical CO2 as afunction of pressure at 70�C and 90�C.2 (d) Solubility of CO2 in polystyrene (PS) andpoly(n-butyl methacrylate) (PnBMA) as a function of pressure at 60�C and 120°C.3

Page 3: Fabrication of Device ...watkins.pse.umass.edu/sites/wrg/files/publications...Supercritical fluids including carbon dioxide offer a combination of properties that are uniquely suited

their implementation has often been hin-dered by secondary issues such as precur-sor volatility, step coverage, film quality,and adhesion. For example, the difficultiesassociated with copper CVD prevented itscommercialization for Cu interconnects,resulting in the current two-step approachconsisting of Cu seed deposition by phys-ical vapor deposition, followed by elec-trolytic plating.

Sievers and co-workers were among thefirst to use SCFs in connection with pre-cursor volatility in CVD processes.11,12 Intheir work, precursor aerosols were pro-duced by preparing solutions of precur-sors in a supercritical fluid, followed byrapid expansion of the supercritical sol-vent (RESS) to atmospheric pressure up-stream of a CVD reactor. The aerosol wasdirected at a substrate to produce a film atelevated temperatures (500–800�C). Theprocess, called supercritical fluid trans-port and chemical deposition (SFT-CD),was used to produce films of Al, Ag, Cr,Cu, In, Ni, Pd, Y, and Zr. A variant of SFT-CD was used to produce metal oxide filmsby expansion from solutions in N2O, astrong oxidizing agent, into a high-energyplasma. This technique has allowed thegeneration of Al2O3, Cr2O3, CuO, SiO2, andB-doped and P-doped SiO2, although theuse of N2O requires extreme care.11 Popovet al. used a similar method to generateInP films.13 While these approaches offer auseful means of generating aerosols of low-volatility precursors, deposition and filmformation occurs at conditions similar tothose of CVD and therefore does not over-come issues related to poor step coveragein confined geometries.

Recently, we and others have demon-strated the deposition of uniform metalfilms over very challenging topographiesby carrying out reactive depositions usinga process called supercritical fluid deposi-tion (SFD).14 SFD involves the depositionof metals and metal oxide films by reaction of suitable precursors in an SCFsolution at a heated surface within a high-pressure deposition reactor. Typical pre-cursors include metallocenes and metaldiketonates. Many common CVD precur-sors are sufficiently soluble in CO2 andprovide a suitable starting point for

screening potential chemistries. Reductionof the metal deposition precursor is com-monly performed using hydrogen or alco-hol,15 which are miscible with supercriticalCO2 above the mixture critical points. Thisapproach has been used for the depositionof high-purity metal films including Au,Co, Cu, Ir, Ni, Pd, Pt, Rh, and Ru.16–22 Theuse of a cold-wall pressure vessel, in whichthe substrate is mounted on a heated stage,provides a means to localize the deposi-tion to the desired surface.

The high precursor concentrations ac-cessible in SFD promote conformal cover-age (Figure 2). The deposition of copperby hydrogen reduction of Cu(II) β-diketonates including 2,2,6,6-tetramethyl-3,5-heptanedionato copper is well suitedfor the preparation of copper intercon-nects (Figure 2a).14 Cu depositions are typ-ically carried out at pressures between 10and 25 MPa and substrate temperaturesbetween 200�C and 300�C. At tempera-tures above 225�C, seed layers are not required on dielectric or barrier sur-faces, yielding a single-step method forfeature fill. A kinetic analysis of the copperdeposition process was performed byZong et al. and revealed a zero-orderreaction-rate dependence on precursorconcentration for all but the most dilutereaction conditions (Figure 2b).23 The ability to maintain zero-order kineticsover a large range of concentrations facili-tates exceptional step coverage, as concen-tration gradients that may arise in thetrench are insufficient to cause a transitionout of the surface reaction-rate-limitedregime. Thus, the reaction proceeds con-formally over all surfaces, provided thatthe temperature is uniform. Simple sub-strate pre-treatments have been shown toyield good adhesion for Cu films de-posited from CO2.24

Thin ruthenium films are of interestfor use as both barriers and capacitorelectrodes and can also be depositedwith exceptional step coverage usingSFD. For example, Kondoh demon-strated defect-free filling of high-aspect-ratio 100-nm-wide features on seeded Siwafers by the hydrogen reduction ofruthenocene (Figure 2c).22 We have de-posited continuous and conformal films

as thin as 20 nm directly within high-aspect-ratio via structures by hydrogenreduction using chemistry that does notrequire a seed layer.21 Interestingly, H2reductions of Ru precursors proceedreadily in CO2 to yield high-purity films.This is typically not the case in CVD,where deposition under oxidizing con-ditions is more common and can lead tooxygen and carbon contamination thatis deleterious to device performance.

Work has also been carried out usingthe codeposition of multiple precursors to form films containing a mixture of materials.25,26 For example, phosphorus-doped metal films [Co(P)] can be preparedby the reduction of 2,2,6,6-tetramethyl-3,5-heptanedionato cobalt in the presenceof triphenylphosphine, and Pt/Ni alloyedfilms of tailored composition can be pro-duced by coreduction of dimethylcyclo-octadiene platinum and nickelocene withH2 in CO2.25,26 By operating at tempera-tures from 275�C to 325�C, the Co(P) filmscould be deposited on Cu lines selectivelyover the surrounding dielectric, providingan efficient means of Cu line capping.25 Ina variant of the alloy strategies, the depo-sition temperature of Cu and Ni films canbe significantly reduced by the reductionof their precursors in the presence of tracequantities of easily reducible Pt or Pdcompounds.14,26–28

Cabanas et al. have demonstrated thepreparation of patterned metal films tem-plated by an underlying substrate.20 Goldwas deposited within high-aspect-ratiovias that were etched in Si and backfilledwith a thin layer of SiO2. The gold filmwas then released from the substrate byetching the underlying oxide with HF,yielding an array of high-aspect-ratio goldposts (Figure 2d).

Alternative deposition strategies havealso been developed. Ye et al. depositedCu, Ag, and Pd onto Si and Ge using HFas a reacting agent to facilitate depositionon Si and Ge surfaces.29 The fluorinationof semiconductor surfaces initiates reduc-tion of the precursor to yield conformalmetal films. Wakayama et al. have takenadvantage of the excellent transport properties of SCF solutions to preparefreestanding metal and metal oxide nano-structured materials by permeating andcoating solid porous materials such as ac-tivated carbon and woven carbon tem-plates in carbon dioxide.30 While theresulting materials are not relevant to the fabrication of semiconductor devices,the process is an excellent illustration ofthe ability of SCF media to deliver confor-mal coverage in confined geometries.

Device manufacture requires the re-moval of metals and metal oxides by etch-

Fabrication of Device Nanostructures Using Supercritical Fluids

MRS BULLETIN • VOLUME 30 • DECEMBER 2005 969

Table II: Comparison of Selected Properties of Supercritical Fluids to Those ofLiquids and Gases.

Supercritical Fluid Liquid Gas

Density (g cm3) 0.1–1 1 10–3

Viscosity (Pa s) 10–4–10–5 10–3 10–5

Diffusivity (cm2 s) 10–3 10–5 10–1

Surface Tension (dynes/cm) 0 20–50 0�

Page 4: Fabrication of Device ...watkins.pse.umass.edu/sites/wrg/files/publications...Supercritical fluids including carbon dioxide offer a combination of properties that are uniquely suited

ing for contamination control and for pat-tern generation via anisotropic etch proc-esses. In many cases, dry etching is limitedby either the volatility of the etchingagents or resulting metal chelate or halideby-products. For example, aniso-tropic Cumetal etching is limited by the volatility ofCu halides.31 Rather than dry etching, thecurrent dual damascene process for thefabrication of Cu interconnects relies onchemical–mechanical planarization to re-move excess copper after electroless depo-sition. As with SCF deposition processes, asupercritical fluid can be used to over-come species volatility constraints for dryetching. DeSimone and co-workers demon-strated the etching of Cu in supercriticalcarbon dioxide using solutions of organicperoxides and hexafluoroacetylacetone(hfacH) free ligand, where the terminal Hindicates the protonated form of the lig-and.32 Here, the peroxides are necessary tooxidize the copper. The Cu oxides canthen be etched using hfacH as the chelat-ing agent, resulting in removal of the

metal film. Xie et al. conducted a de-tailed study of the etching of copper by sequential oxidation at ambient conditionsfollowed by exposure to hfacH in super-critical CO2.

31 Shan and Watkins studiedthe etching kinetics of cuprous oxide films(Cu2O) supported on Cu or on SiO2 usingseveral β-diketones including hfacH,2,2,6,6-tetramethyl-3,5-heptanedione(tmhdH) and 2,2,7-trimethyloctane-3,5-dione (tmodH) in supercritical carbon dioxide at temperatures between 80�C and150�C and pressures between 20 MPa and 27.5 MPa.33 At 150�C, the etch rateusing tmodH was 1.5 nm/min. Based onthe activation energy obtained from the studies (65 kJ/mol), etch rates greaterthan 10 nm/min can be obtained at 200�C. Carrying out the etching chemistryin SCF CO2 enables the use of non-fluorinated chelating agents such astmhdH and tmodH, which reduces fluo-rine contamination in the films andlessens environmental, health, and safetyconcerns.

Surface Modification of NanoporousSubstrates

The low viscosity, absence of surfacetension, and ease of removal of supercriti-cal solutions render them ideal vehiclesfor carrying out chemistry on and withinnanoporous solids. Future generations ofsemiconductor devices are expected toemploy silicate-based ultralow-dielectric-constant films with significant volumefractions of sub-3-nm pores. The groups ofTripp and McCarthy have demonstratedthat CO2 is an excellent medium for sur-face modification via silylation chem-istry.34,35 Recent work by Muscat andReidy have demonstrated that thesechemistries can be adopted for the effi-cient surface modification of porous low-κmaterials.36–38 For example. Xie et al.demonstrated that silylation of porousmethylsilsesquioxane thin films usinghexamethyldisilazane or trimethylchlor-silane significantly increased the hydro-phobicity of the films, effectively repairingdamage that occurred during exposure to oxygen ashing used in photoresistremoval.38

Drying, Cleaning, and PhotoresistProcessing

Supercritical drying has been widelyapplied to nanostructured materials anddevices including aerogels and micro-electromechanical systems to avoid dam-age that can occur by capillary forcesduring the removal of liquid solvents. Thefield is well reviewed elsewhere10 and willnot be broadly discussed, but a few ex-amples relevant to device manufacturingare highlighted here. Goldfarb et al. used aCO2/hexane/surfactant system to dryhigh-aspect-ratio resist patterns withoutfeature collapse.39–41 The resist lines were140 nm thick, with a spacing of 370 nmand aspect ratio of 6.8.38 Conventional dry-ing of these structures when rewettedwith water and hexane led to structuralcollapse. Namatsu et al. demonstrated sol-vent removal from 7-nm resist featureswith an aspect ratio of 10, also using a sur-factant, and recently used water displace-ment with SF6 followed by supercriticalremoval to effectively dry surfaces with-out damage (Figure 3).42–44

CO2 has also been used for both spin-coating45 and the direct development ofphotoresists. Ober et al. demonstrated thedirect development of negative-tone re-sists comprised of copolymers of tetrahy-dropyranyl methacrylate and fluorinatedmethacrylates in which acid cleavage ofexposed tetrahydropyranyl groups ren-dered a solubility difference sufficient forCO2 development.46 More recently, Obermodified this system to provide a high-

970 MRS BULLETIN • VOLUME 30 • DECEMBER 2005

Fabrication of Device Nanostructures Using Supercritical Fluids

Figure 2. Metal deposition in supercritical carbon dioxide. (a) Cu deposited within high-aspect-ratio trench structures by the hydrogen reduction of bis-2,2,6,6-tetramethyl-3,5-heptanedionato copper [Cu(tmhd)2] in supercritical carbon dioxide. Image reproduced withpermission from Reference 14. (b) Film growth rate dependence on precursor concentrationfor Cu depositions by hydrogen reduction of bis-2,2,7-trimethyl-3,5-octanedionato copper[Cu(tmod)2] in supercritical carbon dioxide. Zero-order dependence of growth rate over a broadprecursor concentration range promotes excellent step coverage.23 (c) Conformal Ru filmdeposited within a trench structure deposited by the H2 reduction of ruthenocene in supercriticalcarbon dioxide. (Image courtesy of E.Kondoh.) (d) Self-supporting arrays of gold posts preparedby the hydrogen-assisted reduction of (acac)Au(CH3)2 in CO2 solution within high-aspect-ratio vias after release from the template. Used with permission from Reference 20.

Page 5: Fabrication of Device ...watkins.pse.umass.edu/sites/wrg/files/publications...Supercritical fluids including carbon dioxide offer a combination of properties that are uniquely suited

resolution positive-tone resist that couldbe developed in CO2 (see the article byOber et al. in this issue).47 Resist systemsfor CO2 development have also been re-ported by DeSimone’s group.48

A Bottom-Up Approach to thePreparation of NanostructuredMetal Oxide and Carbon Films asDevice Substrates

The ability to produce substrates withfeatures below 45 nm and well-orderedmetal oxide or carbon films withnanometer-scale periodic structures is es-

sential for the continued scaling of micro-electronic devices including processorsand memory, and for the development ofnext-generation devices for applicationsin sensing and detection arrays, energyconversion and storage catalysis, separa-tions, microfluidics, and other areas. Theprohibitive cost and technical difficulty oflithographic patterning of device sub-strates below 45 nm is well documented.For applications requiring nanoporous ortwo- and three-dimensional periodicmetal oxide or carbon structures, addi-tional challenges exist. These include theability to form dimensionally stable,defect-free films of tailored compositionover large areas, and the ability to pre-cisely control length scales, order, and ori-entation in the pore or feature structure.

While realization of complete control ofthese issues for metal oxide films hasproven to be very difficult, preparation ofthe analogous structures in polymers isnow in hand. Articles in this issue by thegroups of Hawker and Russell, Willson,and Ober demonstrate tremendous pro-gress in the complete specification of poly-mer nanostructures using high-throughputtechniques including block copolymer as-sembly, nanoimprint lithography, andtwo-photon lithography. The use of super-critical fluids provides a direct route forhigh-fidelity replication of these structuresin metal oxide and carbon films in threedimensions.

Block copolymers (BCPs) are nearlyideal templates for nanostructured mate-rials. They consist of two or more polymersegments that are covalently bonded to-gether and can self-assemble into orderedarrays of microdomains having dimen-sions on the order of 5–100 nm.49 The size,shape, and spacing of the domains can becontrolled by adjusting composition (blockvolume fraction) and block length. More-over, BCP films can be processed overbroad areas on Si wafers using processesamenable to high-volume manufacturing.

Recent advances have demonstrated un-precedented opportunities for controllingorder and domain orientation using con-trolled solvent evaporation and topologi-cal constraints. A complete review of theseadvances is provided by Hawker andRussell in this issue.

The interaction of polymers with supercritical fluids enables the replicationof polymer nanostructures by phase-selective deposition reactions within thetemplates. Most polymers are insoluble inCO2, but can be swollen by fluid sorptionat modest temperatures and pressures.This sorption is equilibrium-limited andcan be precisely controlled (Figure 1d). Inblock copolymers, sorption of modestamounts of SCFs does not disrupt mi-crophase segregation (see the articles byYang et al. and by Stewart and Wilson inthis issue of MRS Bulletin).50,51

In fact, annealing in supercritical CO2can relieve kinetic constraints for produc-ing well-ordered films of high-molecular-weight block copolymers52 by increasingchain mobility.53 Modest mass uptakes ofCO2 can dramatically increase the mobil-ity of small molecules within the dilatedpolymers4 by depressing glass-transitiontemperatures.54 Consequently, SCF-dilatedpolymer films are good reaction media.This fact has been exploited for the pre-paration of novel polymer blends byin situ polymerization of monomers55–57

and the preparation of metal nanopar-ticles within polymer substrates by the sequential in-fusion and reduction oforganometallic compounds.58 Confine-ment of deposition reactions within onephase of a dilated block copolymer pro-vides an opportunity to use these mate-rials as templates.

The replication of block copolymer template films dilated with supercritical car-bon dioxide for the preparation of device-quality silica films has been demonstratedby Watkins and co-workers.59 A diagram ofthe replication scheme is shown in Figure 4.

Fabrication of Device Nanostructures Using Supercritical Fluids

MRS BULLETIN • VOLUME 30 • DECEMBER 2005 971

Figure 3. Photoresist drying insupercritical fluids. (a) Scanningelectron microscopy (SEM) imageshowing damage to an acrylate resinresist pattern after conventional N2

drying. (b) SEM image showing resultsof drying by supercritical-phase solventremoval. Images reproduced withpermission from Reference 44.

Figure 4. Schematic illustration of the process for 3D replication of block copolymer templates in supercritical CO2.

Page 6: Fabrication of Device ...watkins.pse.umass.edu/sites/wrg/files/publications...Supercritical fluids including carbon dioxide offer a combination of properties that are uniquely suited

The process is straightforward and in-volves spin-coating a suitable templateonto a support, transferring the templateto a high-pressure reactor for SCF-assistedinfusion and deposition within the tem-plate, and removal of the template follow-ing decompression by calcination, reactiveplasma, or other techniques. The key tothe process is imparting selectivity for thedeposition reaction during the infusion,which can be achieved by localizing a cat-alyst within the polymer template.

Figures 5a and 5b show scanning electron microscopy cross sections of silica films prepared using this approach. In these examples, poly(ethylene oxide)-poly(propylene oxide)-poly(ethylene oxide)(PEO-b-PPO-b-PEO) triblock copolymersurfactants known commercially as Pluron-ics were used as templates and were spin-coated onto Si wafers from ethanol solutionscontaining p-toluene sulfonic acid (pTSA).59

Upon solvent evaporation, the blockcopolymer microphase-separates to yieldan ordered morphology, and the pTSAsegregates to the hydrophilic PEO block ofthe copolymer. The silica films are thenprepared by exposure of the templates tosolutions of tetraethyl orthosilicate(TEOS) in humidified CO2. During infu-sion of the template, silica condensationoccurs only in the PEO domains of thetemplate, which contains the pTSA cata-lyst. No condensation occurs in the SCFphase, as the catalyst is insoluble in CO2.Following depressurization, template re-moval by calcination yields the silicareplica. A high degree of order is evidentin the films.

In Figure 5a, the random orientation ofgrains with a cylindrical pore structurein the silica is analogous to grain struc-ture observed in unoriented films forcylindrical diblock copolymers. Orienta-tion of cylindrical domains normal to asubstrate prior to alkoxide infusionshould provide access to nanochannelarrays in metal oxides. This has nowbeen realized by the infusion of TEOSinto cylindrical poly(α-methylstyrene)-b-poly(hydroxystyrene), PMS-b-PHOSTcopolymer templates,60 which orientspontaneously upon spin-coating frompropylene glycol methyl ether acetate.61

The resulting film is shown in Figure 5c.The diameter of the channels can be ad-justed easily by using copolymers ofvarying molecular weight.

The composition of the metal oxidefilms prepared by this approach can betuned by selection of the metal alkoxides.Infusion of BCP templates with mixturesof TEOS and methyltriethoxysilane (MTES)yields robust, ordered, mesoporous organo-silicate films that are exceptional candi-

dates for use as ultralow-dielectric-constantthin films for microelectronics. The me-chanical and electrical properties of filmscontaining spherical pores can be tuned

by adjustments in alkoxide precursor mix-tures and by adjustments in the mass up-take of silicate in the polymer templatesduring infusion. Defect-free uniform films

972 MRS BULLETIN • VOLUME 30 • DECEMBER 2005

Fabrication of Device Nanostructures Using Supercritical Fluids

Figure 5. Nanostructured metal oxide films prepared by 3D replication of block copolymertemplates in supercritical CO2. (a),(b) Scanning electron microscopy (SEM) imagesshowing the cross sections of highly ordered mesoporous silica films prepared on Si wafersby the infusion and condensation of tetraethyl orthosilicate (TEOS) within pre-organizedPEO106-b-PPO70-b-PEO106 and PEO127-b-PPO48-b-PEO127 films, respectively, followed byremoval of the template. Infusion conditions in supercritical CO2 were 60�C and 12.3 MPa.59

(c) Top-down SEM image of vertical nanochannels in a silica array prepared by TEOSinfusion within an oriented cylindrical poly(α-methylstyrene)-b-poly(hydroxystyrene) blockcopolymer template.60(d) Transmission electron microscopy (TEM) image of a mesoporoustitania film prepared by supercritical CO2-assisted infusion and condensation of infusiontitanium diisopropoxide bisacetylacetonate within a block copolymer template blend.66

(e) SEM image of a patterned porous carbon film.The film was prepared by infusion andpolymerization of furfuryl alcohol within a p-toluene sulfonic acid (pTSA)-doped blockcopolymer template cast onto a patterned silicon wafer followed by carbonization.Thepattern was effectively transferred from the Si wafer to the freestanding carbon film.

Page 7: Fabrication of Device ...watkins.pse.umass.edu/sites/wrg/files/publications...Supercritical fluids including carbon dioxide offer a combination of properties that are uniquely suited

prepared on 200-mm wafers exhibiting adielectric constant of 2.2 were metallizedwith copper and shown to withstand therigors of chemical–mechanical planariza-tion, a crucial test required for device inte-gration.59 A detailed study of the porestructure in the film indicated a substan-tial fraction of microporosity in the porewalls, which contributes to the low dielec-tric constant without unduly compromis-ing film strength.62 It is important to pointout that the low-κ films can be preparedon full process wafers with good unifor-mity and short cycle times, which are vitalfor successful implementation.

Recently, Pai extended the scope of thetechnique by the fabrication of mesoporoussilicate films using bridged silsesquiox-anes including bis(triethoxysilyl)ethane,bis(triethoxysilyl)methane, and bis(tri-ethoxysilyl)ethylene, and the functional-ization of the interior pores of poroussilicate films prepared by this templatingtechnique by post-synthesis reaction withsilane coupling agents in CO2.63

Porous titania films are of interest forapplications including solar cells, sensors,and optoelectronics. One advantage of theSCF process for titania is the inherent useof a nonaqueous solvent system; most ti-tanium alkoxides are hydrolytically unsta-ble and difficult to handle in traditionalaqueous sol-gel strategies.64 Figure 5dshows a transmission electron micrographof a porous titanium film prepared by infusion of titanium diisopropoxidebisacetylacetonate (TDIAC) into a tem-plate comprising a blend of Pluronic F108and poly(vinyl phenol) (MW � 20,000)spin-coated from a solution containingpTSA.65 Here, the pTSA is necessary topromote complete hydrolysis and con-densation of the TDIAC.

While up to now studies have focusedon the replication of morphologies pre-sented by block copolymers, there are noapparent barriers to extending SCF-assisted infusion strategy to polymer tem-plates defined by other methodologies,including nanoimprint lithography orsimple pattern transfer methods. Such anapproach would provide broad and directaccess to metal oxide and other films witharbitrary nanoscale patterns. A simple ex-ample at larger length scales is providedin Figure 5e, which shows a patterned car-bon film prepared by infusion and poly-merization of furfuryl alcohol within apTSA-doped block copolymer templatecast onto a patterned silicon wafer. Aftercarbonization to remove the template, theresulting carbon film was removed fromthe wafer by an acid etch. The wafer pat-tern was effectively transferred to the car-bon film with exceptional fidelity.66

Efficient Production of Nanoparticles and Nanowires

Metal and semiconductor nanowiresand nanoparticles are of interest for nu-merous optical and electronic devices.Once integration issues are resolved, thesematerials could yield significant enhance-ments in displays, solid-state lighting,memory devices, and medical diagnos-tics.67 Supercritical fluids are versatilemedia offering potential advantages in theareas of particle size control, product re-covery, and high-temperature synthesis.Synthetic strategies include direct reactionin supercritical solution, reaction in water-in-fluid reverse micelles, and seededgrowth in high-temperature alkanes.

Shah et al. prepared nanoparticles of sil-ver, iridium, and platinum in supercriticalcarbon dioxide by chemical reduction ofappropriate precursors in the presence ofcapping ligands such as dodecane thiolfor supercritical hydrocarbons and per-fluorodecane thiol for carbon dioxide.67

The capping ligands mediate growth andaggregation of the incipient particles. Thetechnique, called arrested precipitation,has also been extended for the preparationof Si and Ge particles by the thermal decomposition of precursors such asdiphenylsilane in supercritical hexaneusing octanol as the capping ligand athigh temperatures (450�C). Particles pro-duced by this process exhibit high corecrystallinity and superior chemical stabil-ity relative to particles grown at lowertemperature in conventional solvents. Thehigh critical temperature of hexane is ad-vantageous, as operation at modest pres-sure provides sufficient solvent densitiesto maintain high capping ligand concen-trations, thus maximizing yield.

Nanoparticles can also be produced bythe reduction of metal precursors withinwater-in-fluid reverse micelles. Water mi-celles in CO2 are most commonly stabi-lized by fluorinated surfactants and giverise to discrete particles including silver,copper, CdS, and ZnS.68–71 Coordination ofthe surfactant with the growing particlestabilizes the system and prevents aggre-gation. Kitchens et al. used water-in-supercritical alkane reverse micelles togenerate copper nanoparticles (Figure 6).70

Sodium bis(2-ethylhexyl) sulfosuccinate(AOT) was used both as the surfactantmolecule and as the capping ligand forstabilizing the copper particles. The meanparticle size in propane was found to be afunction of solvent density, increasingfrom 5.4 nm to 9 nm as pressure was in-creased from 24.1 MPa to 34.5 MPa.70

McLeod et al. recently demonstrated im-proved particle size selectivity by prepar-ing a surfactant-stabilized hexane dispersion

of previously prepared particles and per-forming sequential pressurization of thesolution with CO2 to reduce the solventstrength and precipitate the particles.72

This was carried out in a tubular reactorcontaining a spiral screw, permitting theisolation of a narrow size distribution ofparticles through stepwise expansion ofthe solvent.

Semiconductor nanowires offer interest-ing prospects for logic gates, nanolasers,photodetectors, and memory devices.73

Bulk quantities of single-crystal siliconand germanium nanowires have beensynthesized by the groups of Korgel andJohnston67,73 using the high-temperaturethermal decomposition of organosilaneand organogermane compounds in super-critical alkanes in the presence of goldnanoparticles protected by a monolayer ofan organic capping ligand such as an alkane-thiol. The process requires that the reac-tion temperature be higher than theeutectic temperature of the metal seedparticle and the desired semiconductor,which is typically in excess of 350�C. Forexample, gold is used as the seednanocrystal for Si wires, as gold and sili-con are known to form an alloy containing18.6% silicon at temperatures exceeding363�C. In supercritical hexane at 500�C, theprecursor readily decomposes on the goldsurface and dissolves into the nanocrystal.It is then expelled from the crystal as awire, which can exhibit growth from onelattice plane over larger distances, al-though twinning can also occur.74 The useof a high-density SCF medium enablessolvation of the precursor, stabilization of

Fabrication of Device Nanostructures Using Supercritical Fluids

MRS BULLETIN • VOLUME 30 • DECEMBER 2005 973

Figure 6. Preparation of coppernanoparticles in supercritical fluid:transmission electron microscopyimage of copper nanoparticlessynthesized in a propane-sodiumbis(2-ethylhexyl) sulfosuccinate (AOT)reverse micelle system. Reproducedwith permission from Reference 73.

Page 8: Fabrication of Device ...watkins.pse.umass.edu/sites/wrg/files/publications...Supercritical fluids including carbon dioxide offer a combination of properties that are uniquely suited

the seed particles prior to wire growth,and the high temperatures necessary fordecomposition of the semiconductor par-ticles in high yield. Several hydrocarbonfluids can be used at elevated tempera-tures before significant solvent degrada-tion occurs (�600�C for toluene).75

Davidson used a similar method to gener-ate gallium phosphide wires and galliumarsenide wires at 500�C in hexane usingt(Bu)3Ga as the gallium source andP(SiMe3)3 and As(SiMe3)3, respectively(Figure 7).75,76

ConclusionsSupercritical fluids offer a combination

of properties that are uniquely suited fordevice fabrication at the nanoscale. Theability to conduct solution-based proc-essing in an environment that, from a trans-port perspective, behaves more like a gasoffers the possibility for extending deposi-tion, cleaning, etching, and surface modi-fication chemistries within the smallestdevice features envisioned by the semi-conductor technology roadmaps. Confor-mal metal coverage via supercritical fluiddeposition within challenging topogra-phies offers a glimpse of this potential. We

expect SFD will be extended for metaloxide depositions in the near future. Thesynthesis of nanoparticles and nanowiresin SCFs is developing rapidly and the ap-proaches established to date offer promisefor efficient production required for com-mercialization.

The 3D replication of pre-organizedtemplates offers the potential for completestructural specification in next-generationdevice substrates. In addition to providingaccess to well-ordered nanoporous mediathrough the use of block copolymers, thepotential to create directly patterned mediausing templates prepared by nanoimprintlithography and other methods offers asignificant reduction in process steps andthus economic as well as technical advan-tages. The ability to efficiently produce arrays of well-defined perpendicularnanochannels in silica and titania alone offers numerous possibilities for devicefabrication in the areas of sensors, separa-tion media, and energy conversion. Suc-cessful scaling of the 3D replicationapproach to robust ultralow-dielectricfilms containing spherical pores on 200-mm process wafers indicates the viabilityof these processes.

AcknowledgmentsThe authors gratefully acknowledge

funding from the National Science Foun-dation through the NIRT (CTS 0304159),GOALI (CTS 0245002), and MRSEC (DMR9400488) programs.

References1. P.J. Linstrom and W.G. Mallard, eds., NISTChemistry WebBook, NIST Standard ReferenceDatabase Number 69 (National Institute of Stan-dards and Technology, Gaithersburg, Md., June2005); Web site http://webbook.nist.gov (ac-cessed September 2005).2. Y.F. Zong, PhD thesis, University of Massa-chusetts (2005).3. B.D. Vogt, PhD thesis, University of Massa-chusetts (2003).4. R.R. Gupta, V.S. RamachandraRao, and J.J.Watkins, Macromolecules 36 (2003) p. 1295.5. International Technology Roadmap for Semi-conductors (ITRS), 2003 Edition, http://public.itrs.net/Files/2003ITRS/Home2003.htm (ac-cessed September 2005).6. International Technology Roadmap forSemiconductors (ITRS), 2004 Update,http://www.itrs.net/Common/2004Update/2004Update.htm (accessed September 2005).7. G.L. Weibel and C.K. Ober, Microelectron.Eng. 65 (2003) p. 145.8. A. O’Neil and J.J. Watkins, Green Chem. 6(2004) p. 363.9. C.A. Jones, A. Zweber, J.P. DeYoung, J.B. McClain, R. Carbonell, and J.M. DeSimone,Crit. Rev. Solid State Mater. Sci. 29 (2004) p. 97.10. F. Cansell, C. Aymonier, and A. Loppinet-Serani, Curr. Opin. Solid State Mater. Sci. 7 (2003)p. 331.11. B.N. Hansen, B.M. Hybertson, R.M.Barkley, and R.E. Sievers, Chem. Mater. 4 (1992)p. 749.12. R.E. Sievers and B.N. Hansen, “ChemicalDeposition Methods Using Supercritical FluidSolutions,” U.S. Patent 4,970,093 (November 13,1990).13. V.K. Popov, V.N. Bagratashvili, E.N.Antonov, and D.A. Lemenovski, Thin SolidFilms 279 (1996) p. 66.14. J.M. Blackburn, D.P. Long, A. Cabanas, andJ.J. Watkins, Science 294 (2001) p. 141.15. A. Cabanas, X.Y. Shan, and J.J. Watkins,Chem. Mater. 15 (2003) p. 2910.16. J.M. Blackburn, D.P. Long, and J.J. Watkins,Chem. Mater. 12 (2000) p. 2625.17. E.T. Hunde and J.J. Watkins, Chem. Mater. 16(2004) p. 498.18. D.P. Long, J.M. Blackburn, and J.J. Watkins,Adv. Mater. 12 (2000) p. 913.19. J.J. Watkins, J.M. Blackburn, and T.J. McCarthy, Chem. Mater. 11 (1999) p. 213.20. A. Cabanas, D.P. Long, and J.J. Watkins,Chem. Mater. 16 (2004) p. 2028.21. A. O’Neil and J.J. Watkins, (2005) unpub-lished manuscript.22. E. Kondoh, Jpn. J. Appl. Phys. Pt. 1: Regul.Pap. Short Notes Rev. Pap. 43 (2004) p. 3928.23. Y.F. Zong and J.J. Watkins, Chem. Mater. 17(2005) p. 560.24. Y.F. Zong, X.Y. Shan, and J.J. Watkins, Lang-muir 20 (2004) p. 9210.

974 MRS BULLETIN • VOLUME 30 • DECEMBER 2005

Fabrication of Device Nanostructures Using Supercritical Fluids

Figure 7. Gallium phosphide nanowires synthesized in supercritical fluids. (a) Scanningelectron microscopy image of GaP nanowires grown at 500�C in hexane from (t-Bu)3Gaand P(SiMe3)3. (b) High-resolution transmission electron microscopy (TEM) image of a GaPwire. (c) High-resolution TEM image of twinning faults in a GaP nanowire. Imagesreproduced with permission from Reference 75.

Page 9: Fabrication of Device ...watkins.pse.umass.edu/sites/wrg/files/publications...Supercritical fluids including carbon dioxide offer a combination of properties that are uniquely suited

25. J.M. Blackburn, J. Gaynor, J. Drewery, E. Hunde, and J.J. Watkins, Adv. MetallizationConf. Proc. (Warrendale, PA, 2003) p. 601.26. J.J. Watkins, J.M. Blackburn, D.P. Long, andJ.L. Lazorcik, “Chemical Fluid DepositionMethod for the Formation of Metal and MetalAlloy Films on Patterned and UnpatternedSubstrates,” U.S. Patent 6,689,700 (February 10,2004).27. J.J. Watkins and T.J. McCarthy, “A Method of Chemically Depositing Material onto a Substrate,” U.S. Patent 5,789,027 (August 4,1998).28. H. Ohde, S. Kramer, S. Moore, and C.M.Wai, Chem. Mater. 16 (2004) p. 4028.29. X.R. Ye, C.M. Wai, D.Q. Zhang, Y. Kranov,D.N. McIlroy, Y.H. Lin, and M. Engelhard,Chem. Mater. 15 (2003) p. 83.30. H. Wakayama, N. Setoyama, and Y. Fukushima, Adv. Mater. 15 (2003) p. 742.31. B. Xie, C.C. Finstad, and A.J. Muscat, Chem.Mater. 17 (2005) p. 1753.32. C.A. Bessel, G.M. Denison, J.M. DeSimone,J. DeYoung, S. Gross, C.K. Schauer, and P.M.Visintin, J. Am. Chem. Soc. 125 (2003) p. 4980.33. X.Y. Shan and J.J. Watkins, Thin Solid Films(2005) in press.34. C.T. Cao, A.Y. Fadeev, and T.J. McCarthy,Langmuir 17 (2001) p. 757.35. J.R. Combes, L.D. White, and C.P. Tripp,Langmuir 15 (1999) p. 7870.36. B.P. Gorman, R.A. Orozco-Teran, Z. Zhang,P.D. Matz, D.W. Mueller, and R.F. Reidy, J. Vac.Sci. Technol. B 22 (2004) p. 1210.37. B. Xie and A.J. Muscat, in Ultra-Clean Pro-cessing of Silicon Surfaces VII, Vol. 103–104 (TransTech, Brussels, 2005) p. 323. 38. B. Xie and A.J. Muscat, Microelectron. Eng. 76(2004) p. 52.39. J.M. Cotte, D.L. Goldfarb, K.J. McCullough,W.M. Moreau, K.R. Pope, J.P. Simons, and C.J.Taft, “Process of Drying Semiconductor WafersUsing Liquid or Supercritical Carbon Dioxide,”U.S. Patent 6,398,875 (June 4, 2002).40. J.M. Cotte, D.L. Goldfarb, K.J. McCullough,W.M. Moreau, K.R. Pope, J.P. Simons, and C.J.Taft, “Process of Cleaning Semiconductor Pro-cessing, Handling, and Manufacturing Equip-

ment,” U.S. Patent 6,454,869 (September 24,2002).41. D.L. Goldfarb, J.J. de Pablo, P.F. Nealey, J.P.Simons, W.M. Moreau, and M. Angelopoulos,J. Vac. Sci. Technol. B 18 (2000) p. 3313.42. H. Namatsu, J. Photopolym. Sci. Technol. 15(2002) p. 381.43. H. Namatsu, Jpn. J. Appl. Phys. Pt. 2: Lett.Express Lett. 43 (2004) p. L456.44. H. Namatsu, K. Yamazaki, and K. Kurihara,J. Vac. Sci. Technol. B 18 (2000) p. 780.45. E.N. Hoggan, D. Flowers, K. Wang, J.M.DeSimone, and R.G. Carbonell, Ind. Eng. Chem.Res. 43 (2004) p. 2113.46. N. Sundararajan, S. Yang, K. Ogino, S. Valiyaveettil, J.G. Wang, X.Y. Zhou, C.K.Ober, S.K. Obendorf, and R.D. Allen, Chem.Mater. 12 (2000) p. 41.47. V.Q. Pham, R.J. Ferris, A. Hamad, and C.K.Ober, Chem. Mater. 15 (2003) p. 4893.48. D. Flowers, E.N. Hoggan, R. Carbonell, andJ.M. DeSimone, SPIE Proc. 419 (2002) p. 4690.49. F.S. Bates and G.H. Fredrickson, PhysicsToday 52 (1999) p. 32.50. B.D. Vogt, G.D. Brown, V.S. Ramachan-draRao, and J.J. Watkins, Macromolecules 32(1999) p. 7907.51. B.D. Vogt, V.S. RamachandraRao, R.R.Gupta, K.A. Lavery, T.J. Francis, T.P. Russell,and J.J. Watkins, Macromolecules 36 (2003)p. 4029.52. V.S. RamachandraRao, R.R. Gupta, T.P.Russell, and J.J. Watkins, Macromolecules 34(2001) p. 7923.53. R.R. Gupta, K.A. Lavery, T.J. Francis, J.R.P.Webster, G.S. Smith, T.P. Russell, and J.J.Watkins, Macromolecules 36 (2003) p. 346.54. R.G. Wissinger and M.E. Paulaitis, J. Polym.Sci. Pt. B-Polym. Phys. 25 (1987) p. 2497.55. J.J. Watkins and T.J. McCarthy, Macromole-cules 28 (1995) p. 4067.56. J.J. Watkins and T.J. McCarthy, Macromole-cules 27 (1994) p. 4845.57. D.H. Sun, R. Zhang, Z.M. Liu, Y. Huang, Y. Wang, J. He, B.X. Han, and G.Y. Yang,Macromolecules 38 (2005) p. 5617.58. J.J. Watkins and T.J. McCarthy, Chem. Mater.7 (1995) p. 1991.

59. R.A. Pai, R. Humayun, M.T. Schulberg, A. Sengupta, J.N. Sun, and J.J. Watkins, Science303 (2004) p. 507.60. S. Nagarajan, R.A. Pai, T.P. Russell, J.J.Watkins, M. Li, K.S. Bosworth, P. Busch, D.M.Smilgies, and C.K. Ober, Adv. Mater. (2005) sub-mitted.61. P. Du, M.Q. Li, K. Douki, X.F. Li, C.R.W.Garcia, A. Jain, D.M. Smilgies, L.J. Fetters, S.M.Gruner, U. Wiesner, and C.K. Ober, Adv. Mater.16 (2004) p. 953.62. B.D. Vogt, R.A. Pai, H.J. Lee, R.C. Hedden, C.L. Soles, W.L. Wu, E.K. Lin, B.J.Bauer, and J.J. Watkins, Chem. Mater. 17 (2005)p. 1398.63. R.A. Pai and J.J. Watkins, Adv. Mater. (2005)accepted.64. W.E. Stallings and H.H. Lamb, Langmuir 19(2003) p. 2989.65. D.M. Hess and J.J. Watkins (2005) unpub-lished manuscript.66. G. Bhatnagar and J.J. Watkins (2005) un-published manuscript.67. P.S. Shah, T. Hanrath, K.P. Johnston, and B.A. Korgel, J. Phys. Chem. B 108 (2004)p. 9574.68. J.P. Cason, K. Khambaswadkar, and C.B. Roberts, Ind. Eng. Chem. Res. 39 (2000)p. 4749.69. J.C. Liu, P. Raveendran, Z. Shervani, Y. Ikushima, and Y. Hakuta, Chem. Eur. J. 11(2005) p. 1854.70. C.L. Kitchens and C.B. Roberts, Ind. Eng.Chem. Res. 43 (2004) p. 6070.71. H.L. Zhang, B.X. Han, J.C. Liu, X.G. Zhang,G.Y. Yang, and H.Z. Zhao, J. Supercrit. Fluids 30(2004) p. 89.72. M.C. McLeod, M. Anand, C.L. Kitchens,and C.B. Roberts, Nano Lett. 5 (2005) p. 461.73. T. Hanrath and B.A. Korgel, Adv. Mater. 15(2003) p. 437.74. J.D. Holmes, K.P. Johnston, R.C. Doty, andB.A. Korgel, Science 287 (2000) p. 1471.75. F.M. Davidson, R. Wiacek, and B.A. Korgel,Chem. Mater. 17 (2005) p. 230.76. F.M. Davidson, A.D. Schricker, R.J. Wiacek, and B.A. Korgel, Adv. Mater. 16 (2004)p. 646. ■■

Fabrication of Device Nanostructures Using Supercritical Fluids

MRS BULLETIN • VOLUME 30 • DECEMBER 2005 975www.mrs.org/publications/bulletin