EC2404 ESD Final Edited

73
EC2404 – Electronic System Design Lab DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING LAB MANUAL LAB CODE : EC2404 LAB NAME : ELECTRONIC SYSTEM DESIGN LAB 1

description

Electronic System Design Lab Manual

Transcript of EC2404 ESD Final Edited

Page 1: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

DEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

LAB MANUAL

LAB CODE : EC2404

LAB NAME : ELECTRONIC SYSTEM DESIGN LAB

1

Page 2: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

SUBJECT CODE: EC2404

SUBJECT NAME: ELECTRONIC SYSTEM DESIGN LAB

SYLLABUS

1. Design of a 4-20mA transmitter for a bridge type transducer.

Design the Instrumentation amplifier with the bridge type transducer (Thermistor or any resistance variation

transducers) and convert the amplified voltage from the instrumentation amplifier to 4 – 20 mA current

using op-amp. Plot the variation of the temperature Vs output current.

2. Design of AC/DC voltage regulator using SCR

Design a phase controlled voltage regulator using full wave rectifier and SCR, vary the conduction angle

and plot the output voltage.

3. Design of process control timer

Design a sequential timer to switch on & off at least 3 relays in a particular sequence using timer IC.

4. Design of AM / FM modulator / demodulator

i. Design AM signal using multiplier IC for the given carrier frequency and modulation index and

demodulate the AM signal using envelope detector.

ii. Design FM signal using VCO IC NE566 for the given carrier frequency and demodulate the same using

PLL NE 565.

5. Design of Wireless data modem.

Design a FSK modulator using 555/XR 2206 and convert it to sine wave using filter and transmit the same

using IR LED and demodulate the same PLL NE 565/XR 2212.

6. PCB layout design using CAD

Drawing the schematic of simple electronic circuit and design of PCB layout using CAD

7. Microcontroller based systems design

Design of microcontroller based system for simple applications like security systems combination lock.

8. DSP based system design

Design a DSP based system for echo cancellation, using TMS/ADSP DSP kit.

9. Psuedo-random Sequence Generator

10. Arithmetic Logic Unit Design

2

Page 3: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

CYCLE OF EXPERIMENT

FIRST CYCLE

Expt. No.

Date Title of the Experiment Remarks

1DESIGN OF AN INSTRUMENTATION AMPLIFIER

2DESIGN OF AC/DC VOLTAGE REGULATOR USING SCR

3 DESIGN OF PROCESS CONTROL TIMER

4DESIGN OF AM MODULATOR AND DEMODULATOR

5DESIGN OF FM MODULATOR AND DEMODULATOR

6 PCB LAYOUT DESIGN USING CAD TOOL

SECOND CYCLE

7MICROCONTROLLER BASED SYSTEMS DESIGN

8 DSP BASED DESIGN

9PSUEDO RANDOM SEQUENCE GENERATOR

10 ARITHMETIC LOGIC UNIT DESIGN

11 DESIGN OF WIRELESS DATA MODEM

CONTENT BEYOND SYLLABUS

12 SWITCHED MODE POWER SUPPLY

3

Page 4: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

EC2404 – ELECTRONIC SYSTEM DESIGN LAB

PROCEDURE FOR OBSERVATION & RECORD:

LEFT HAND SIDE RIGHT HAND SIDE

BLOCK DIAGRAM

TABULATION

MODEL GRAPH

DESIGN/CALCULATION

VIVA Q&A

AIM

APPARUTUS REQUIRED

THEORY (WITH DESIGN FORMULAS)

PROCEDURE

APPLICATIONS

RESULT

INFERENCE

OBSERVATION:CATEGORY MAX. MARKS

ALLOTTEDMARKS

AWARDEDPREPARATION 10PERFORMANCE 10INNOVATION 20VIVA-VOCE 10TOTAL 50

RECORD:CATEGORY

MAX. MARKS ALLOTTED

MARKS AWARDED

OBSERVATION 50RESULT 40RECORD 10TOTAL 100

4

Page 5: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

Ex. No.1 DESIGN OF AN INSTRUMENTATION AMPLIFIER

AIM

To design a transmitter using bridge type transducer and to calculate the CMRR value for

instrumentation amplifier.

PIN DIAGRAM

CIRCUIT DIAGRAM

BRIDGE CIRCUIT

5

Page 6: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

INSTRUMENTATION AMPLIFIER

V TO I CONVERTER

6

Page 7: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

THEORY:

In a number of industrial and consumer applications physical quantities such as temperature,

pressure, light intensity are to be measures and controlled. These physical quantities are measured with the

help of transducers has to be amplified so that it can drive the display system. This function is performed

by an instrumentation amplifier

Transducer is a device which converts the energy from one form to another form. V to I converter

is used to convert the given voltage signal to a current signal.

The transducer used in this circuit is resistive transducer whose resistance changes as a function of

the physical quantity to be measured. The bridge is initially balanced by a dc supply voltage V dc so that V1

= V2. As the physical quantity changes, the resistance RT of the transducer also changes, causing an

unbalance in the bridge. This differential voltage now gets amplified instrumentation amplifier.

The important features of instrumentation amplifier are:

1. High Gain Accuracy

2. High CMRR

3. High Gain Stability With Low Temperature Coefficient

4. Low Dc Output

5. High Output Impedance

The circuit shown is a simple differential instrumentation amplifier that has a resistive transducer

(Rt). A resistive transducer is a device whose resistance changes when a certain physical energy

applied to it changes. Common examples include transducers with resistances that vary with

temperature, pressure, and light shining on it.

7

Page 8: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

As in most bridge circuits, the components in this circuit's bridge network (consisting of Ra, Rb,

Rc, and Rt) are chosen so that the bridge is balanced at a certain reference condition, i.e., Rc/Rb =

Rt/Ra. One way to do this is to make Ra=Rb=Rc=Rt=R at the chosen reference point.

When the bridge above is balanced, Va = Vb, causing the input voltages to A3 to be equal and the

output of A3 to be zero. When the resistance of Rt changes, however, the bridge becomes

unbalanced, causing a non-zero voltage Vab to appear across the inputs of A3. This, in turn, results

in an output voltage Vo that is proportional to the change in resistance of Rt, i.e., Vo = (RF/R1)

(ΔR/4R) Vdc where ΔR is the change in Rt's resistance.

By attaching an indicating meter to the output of A3 and calibrating this accordingly, this circuit

may be used to measure various physical quantities with the appropriate transducer.

FORMULAS

1. Common mode Gain (AC) = Output / Common mode input

2. Differential Gain (AD) = (V0 / Vin) = ( V0 / (V2 – V1))

3. CMRR (dB) = 20 log10 ( Differential gain / Common mode gain)

= 20 log10 (AD / AC )

4. Vin = R1 i0 ( or) i0 = Vin / R1

CALCULATION

8

Page 9: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

TABULAR COLUMN

COMMON MODE GAIN (AC)

S.No V1 ( volts) V2 ( volts) V0 ( volts) AC

DIFFERENTIAL MODE

S.No V1

( volts)

V2

(volts)

Vd

( volts)

V0

Practical

( volts)

V0

Theoretical

( volts)

AD AD / AC CMRR in

dB

V TO I CONVERTER (FLOATING LOAD)

S.No V1 ( volts) RL ( ) IL (mA)

9

Page 10: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

GAIN CALCULATION

Displacementfrom initialplace (cm)

OutputVoltage (volt)

OutputCurrent

(mA)

Gain =(VO / (V2 -V1))

Gain =20 log (VO / (V2 -V1)) in

dB

VIVA QUESTION

1. What are the applications of instrumentation amplifier?

2. What are the ideal characteristics of op-amp?

3. What are the advantages of IC?

4. List out the important features of an instrumentation amplifier.

5. What is voltage follower?

RESULT

10

Page 11: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

Ex. No.2 AC / DC VOLTAGE REGULATOR USING SCR

AIMTo design a AC / DC voltage regulator using SCR and plot the output voltage by varying the

conduction angle.

PIN DIAGRAM:

CIRCUIT DIAGRAM

THEORY

11

SCR2P4M

K A G

K - CathodeA - AnodeG - Gate

A K

G

10KΩ

0.01

f

IN 5400

IN 5400

230

VAC

Inpu

t

SCR

CRO

DRB 1MΩ

Page 12: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

SCR is a bidirectional device. SCR is basically a switch. When the gate current I 4=0 operation of

SCR is similarly to PNPN diode. When IG < 0, the breakover voltage VBO is increased. When IG > 0, the

breakover voltage VBO is decreased with very large gate current breakover may occur at very low voltage

such that the characteristics of SCR are similar to that of ordinary PN diode. As the voltage at which the

SCR is switched ‘ON’ can be controlled by varying the gate current IG it is commonly called as controlled

switch.

In the circuit we have used only SCR for controlling output voltage for rectification. Rectification

is a process of converting an AC to DC. For rectification ordinary diodes are used. In the circuit during the

positive half cycle of AC voltage appearing across secondary, the diode D1 and D3 conducts and during

the negative half cycle of AC voltage D2 and D4 conducts resulting in full wave rectified signals. The full

wave rectifier signal that is passed

to the output is controlled by SCR. The SCR will conduct provided proper gate current, the lesser the

supply voltage is required to trigger ON the SCR. The IG is adjusted to such a value that SCR is turned

‘ON’ at a positive voltage.V1 of rectified voltage which is less than the peak voltage Vm beyond this the

SCR will be conducting till the applied voltage becomes zero. The angle at which the SCR starts

conducting is called the firing angle. The conduction angle is obtained as 180- .

If is zero, this will be an ordinary diode full wave rectifier. By proper adjustment of I4, the SCR

can be made to conduct full or part of the rectified signal, thereby controlling the power fed to the load.

Average or DC output Vav = Vm / (1+cos)

Iav = Vav / RL

If =0 Vav = 2Vm/

If =90 Vav = Vm/

This implies greater the , smaller the average voltage.

CALCULATION

12

Page 13: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

TABULAR COLUMN:

S.No

Input Signal Rectifier Output Signal

Amplitude (v) Time(ms) Amplitude (v) Time (ms)

VIVA QUESTION

1. What is the difference SCR & TRIAC?

2. How to covert the ac to dc and how to control the dc output?

3. What is the difference between the diode and the rectifier?

4. Explain the working operation of VI characteristic of SCR.

5. Mention the application of SCR.

RESULT

13

S.

No

R1

()

R2

()

Firing

Angle

(degree)

Conduction

Angle

(degree)

Amplitude of

SCR output

with filter (v)

Amplitude of SCR output

without filter

Amplitude

(v)

TON

(ms)

TOFF

(ms)

Page 14: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

Ex. No.3 PROCESS CONTROL TIMER

AIM

To design and implement process control timer using

(i) Microprocessor

(ii) 555 Timer

PIN DIAGRAM

CIRCUIT DIAGRAM

Digital Based Hardware Design

14

8085 µP Kit

330Ω

330Ω

330Ω

PPI

Page 15: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

THEORY

The 555 timer is a highly stable device for generating accurate time delay or oscillation.

The 556 timer contains 555 timers and is a 14 pin DIP. There is also available counter timer. A

single 555btimer can provide time delay ranging from microsecond to hours. Whereas counter timer

have a maximum timing range of delays. various application include oscillator ,pulse generator,

ramp and square wave generator,mono multivibrator,burglar alarm, traffic light control and

voltage monitor etc

CALCULATION

15

Page 16: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

VIVA QUESTION

1. What is the 555 timer?

2. What are the 555 timer applications?

3. The control terminal (pin5) of 555 timer IC is normally connected to ground through a capacitor (~

0.01μF). This is to

(A) protect the IC from inadvertent application of high voltage

(B) prevent false triggering by noise coupled onto the pin

(C) convert the trigger input to sharp pulse by differentiation

(D) suppress any negative triggering pulse

4. List out the application of Process control timer.

RESULT

16

Page 17: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

Ex. No.4 DESIGN OF AM MODULATOR / DEMODULATOR

AIM

To design an AM modulator and demodulator circuit and to verify the output waveform.

CIRCUIT DIAGRAM

AM MODULATION

AM DEMODULATION

ENVELOPE DETECTOR

17

Page 18: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

THEORY

The ad633 is a functionally complete ,four-quadrant,analog multiplier.it includes high

impedance,differential X and Y inputs,and a high impedance summing input(z).the low impedance output

voltage is a nominal 10v full scale provided by a buried zener.

The AD633 can be used as a linear amplitude modulator with no external components.the carrier and

modulation inputs to the AD633 are multiplied to produce a double sideband signal.the carrier signal is fed

forward to the z input of the AD633 where I is summed with the double side band signal to produce a

double sideband with the carrier output.

An envelope detector is an electronic circuit that takes ahigh frequency modulated signal as input and

provides an output which the envelope of the original signal.the capacitor in the circuit stores charge on

the rising edge,and releases it slowly through the resistor when the signal falls.the diode in series rectifies

the incoming signal,allowing current flow only when the positive input terminal is at a higher potential

then the negative input terminal.

TABULATION

Modulating

frequency(fm)

Carrier

frequency(fc)

Modulating

signal

voltage(Vm)

In volts

Carrier

signal

voltage(Vc)in

volts

Modulation

index(m)

Demodulated

signal voltage

in volts

18

Page 19: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

MODEL GRAPH

MODULATION

DEMODULATION

19

Page 20: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

VIVA QUESTION

1. Based upon your general knowledge of AM and FM broadcasting by listening to the radio name the

frequency occupied by AM and FM broadcast stations?

2. What will happen, if modulation index is greater than 100%?

3. Audio signals are not transmitted by electromagnetic waves. Justify. the statement.

4. An amplitude modulated amplifier has a radio frequency output of 50w at 100% modulation. The

internal loss in the modulator is low. What output power is required from the modulator?

5. In what stage modulation is done in high – power A.M transmissions?

RESULT

20

Page 21: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

Ex. No.5 DESIGN OF FM MODULATOR / DEMODULATOR

AIM

To design FM signal using IC 566 for the given carrier frequency and demodulate the FM using PLLNE

565.

FM MODULATOR CIRCUIT

FM DEMODULATOR CIRCUIT

21

Page 22: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

THEORY

Modulation

It is the process in which the characteristics of high frequency carrier wave are varied in accordance with

instantaneous value of other wave.

Frequency Modulation

Frequency modulation is the process of varying the frequency of a carrier wave in proportion to the

instantaneous amplitude of the modulating signal without any variation in the amplitude of the carrier

wave. Because the amplitude of the wave remains unchanged, the power associated with an FM wave is

constant. When the modulating signal is zero, the output frequency equals fc (centre frequency).When the

modulating signal reaches its positive peak, the frequency of the modulated signal is maximum and

equals(fc + fm).

At negative peaks of the modulating signal, the frequency of the FM wave becomes minimum and equal to

(fc - fm).Thus, the process of frequency modulation makes the frequency of the FM wave to deviate from

its centre frequency(fc).By an amount ( + or - Δf) where Δf is termed as the frequency deviation of the

system.

During this process, the total power in the wave does not change but a part of the carrier power is

transferred to the side bands. There are two types of FM they are

1.Narrow band FM

2.Wide band FM

Frequency demodulation

It is a process which is used to receive the origin of signals.

Demodulation

Build the FM demodulator circuit. use an RC network as a low pass filter and observe the filter output on a

oscilloscope. Test the demodulator by using signal generator for the input. Adjust the generator frequency

and determine effective frequency range for the circuit. Now connect the demodulator to FM modulator

and make appropriate tests

22

Page 23: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

CALCULATION

TABULATION

Modulation Frequency

Carrier Frequency

Modulating signal voltage

Carrier signal voltage

Demodulated signal voltage

fm fc Vm(volts) Vc(volts) volts

VIVA QUESTION

1. What will be the changes in the wave under FM when the amplitude or frequency of the modulating

signal is increased?

2. The FM station has less noise while receiving the signal. Justify your answer.

3. What happens when a stronger signal and a weaker signal both overlap at the same frequency in FM?

4. Name two applications of two way mobile radio?

5. Which mathematical expression is used to decide the side band amplitudes in a FM signal?

RESULT

23

Page 24: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

Ex. No.6 PCB LAYOUT DESIGN USING CAD

AIM

To design a printed circuit board layout for a given circuit.

CIRCUIT DIAGRAM:

THEORY

General rules for designing PCBs:

The PCB designer follows few rules of thumb that can be used when laying out PCBs. Here they

are,

24

Page 25: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

1. PLACING COMPONENTS

Generally, it is best to place parts only on the topside of the board. Firstly place all the components

in specific locations. This includes connectors, switches, LED mounting holes, heat sinks or any other item

that mounts to an external location.

Give careful thought when placing components to minimize trace lengths. Doing a good job here

will make laying the traces much easier.

Arrange ICs in only one or two orientations (up and down or right and left). Align each IC so that

pin 1 is in the same place for each orientation, usually on the top or left sides. Position polarized parts with

the positive leads, all having the same orientation. Also use a square pad to mark the positive leads of

these components.

Frequently, the beginners run out of room when routing traces. Leave 0.35 to 0.5 between ICs. For

large ICs allow even more space.

Parts not found in the component library can be made by placing a series of individual pads and

then group them together. Place one pad for each lead of the component. It is very important to measure

the pin spacing and pin diameters as accurately as possible.

After placing all the components, print out a copy of the layout. Place each component on the top of the

layout. Check to insure that you have allowed enough space for every part to rest without touching each

other.

2. PLACING POWER AND GROUND TRACES:

After the components are placed, the next step is to lay the power and ground traces.A power rail is

run along the front edge of the board and a ground rail along the rear edge.From these rails attach traces

that run in between the ICs. The ground rail should be very wide, 0.100” and all the supply lines should be

0.50”. When using this configuration the remaining of the bottom layer is then reserved for the vertical

signal traces.

3. PLACING SIGNAL TRACES:

When placing traces, it is always a good practice to make them as short and direct as possible. Use

vias to move signals from one layer to the other. A via is a pad-through hole. Generally the best strategy is

to lay out a board with vertical trace on one side and horizontal traces on the opposite side. A good trace

width for low current digital and analog signals is 0.010”.

25

Page 26: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

Traces that carry significant current should be wider than signal traces. The table below gives

rough guidelines of how wide should a trace be for a given amount of current.

0.010” 0.3 Amps0.015” 0.4 Amps0.020” 0.7 Amps0.025” 1 Amps0.050” 2 Amps0.100” 4 Amps-0.150” 6 Amps

When routing traces, it is best to have the snap to grid turned on. Setting the snap grid spacing to 0.050”

works well. Changing to a value of 0.025” can be helpful when trying to work as densely as possible.

Turning off the snap feature may be necessary when connecting to parts that have unusual pin spacing.

It is a common practice to restrict the direction that traces run to horizontal, vertical or at 45

degrees angles.

When placing narrow traces, use 0.015” or less. Avoid sharp right angle turns. The problem here is that ,

in the board manufacturing process the outside corner can be etched a little more narrow. The solution is to

use two 45-degree bends with a short leg in between.

It is a good idea to place text on the top layer of the board, such as the product or company name.

4. CHECKING YOUR WORK:

After all the traces are placed, it is best to double-check the routing of every signal to verify that

nothing is missing or incorrectly wired. Do this by running through the schematic, one wire at a time.

Carefully follow the path of each trace. After each trace is confirmed, mark the signal on the schematic

with a yellow highlighter.

Inspect the layout, both top and bottom to ensure that the gap between every item is 0.007” or greater. Use

the pad information tool to determine the diameters of pads that make up a component.

26

Page 27: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

Check for missing vias. The CAD software will automatically insert a via when changing layers as a series

of traces are placed. The user often forgets that vias are not automatically inserted otherwise. For example,

when beginning a new trace, a via is to first print a top layer, then print the bottom. Visually inspect each

side for traces that doesn’t connect to anything. When a missing via is found, insert one. Do this by

clicking on the pad in the side tool bar from the down list box and click on the layout.

Check for the traces that cross each other. Inspecting a printout of each layer easily does this.

Metal components such as heat sinks, crystals, switches, batteries and connectors can cause shorts,

if they are placed over traces on the top layer. Inspect for these shorts by placing all the metal components

on a printout of the top layer. Then look for traces that run below the metal components.

VIVA QUESTIONS

1. What do you mean by PCB Layout design?

2. List out the primary steps needed for designing a circuit.

3. What is use of PCB layout designing?

4. What is the formula used to calculate the thickness.

RESULT

Ex. No.7 MICROCONTROLLER BASED DESIGN

27

Page 28: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

AIMDesign of microcontroller based system for security systems combination lock.

APPARATUS REQUIRED

1. Power Supply2. Micro controller 8051 kit3. Matrix Keyboard

CIRCUIT DIAGARM

THEORY

Microcontroller keep scanning the keyboard, when all inputs are high(“ones”) that mean no key is

pressed. If one bit is low (“zero”) that mean there is a pressed key. To detect which key is pressed,

microcontroller ground all rows, then reads all columns, if all the columns – 1’s no key is pressed. To

identify the exact pressed key, microcontroller will starting with the top row by grounding it, then read the

columns. If the data read is all ones, no key that row activated, and the process will move to the next row,

until reach the row that has a pressed key.

28

Page 29: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

VIVA QUESTION

1. What is the difference between microprocessor and microcontroller.

2. List the features of 8051 microcontroller

3. Name the five interrupt sources of 8051?

4. How the program memory is organized in 8051 based system?

5. List all the registers used in 8051 microcontroller

RESULT

Ex. No.8 DSP BASED DESIGN

29

Page 30: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

AIM

To design a DSP based design for echo cancellation using MATLAB

BLOCK DIAGRAM

THEORY

Echo cancellation is one of the most widely used digital signal processing devices in the world because

each telephone call requires a pair of echo cancellers. Basically, a transversal filter, which is adaptively

modeling the echo path impulse responses, generates an estimate of the echo, with this an echo estimate is

created at the right time to cancel the actual echo [4]. The common problems faced by echo cancellation

are the convergence time and the degree of cancellation.

Echo cancellation is used in telephony to describe the process of removing echo from a voice

communication in order to improve voice quality on a telephone call. In addition to improving subjective

quality, this process increases the capacity achieved through silence suppression by preventing echo from

traveling across a network. Two sources of echo have primary relevance in telephony: acoustic echo and

hybrid echo.

30

Page 31: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

Echo cancellation involves first recognizing the originally transmitted signal that re-appears, with some

delay, in the transmitted or received signal. Once the echo is recognized, it can be removed by 'subtracting'

it from the transmitted or received signal. This technique is generally implemented using a digital signal

processor (DSP), but can also be implemented in software. Echo cancellation is done using either echo

suppressors or echo cancellers, or in some cases both.

31

Page 32: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

MODEL GRAPH

32

Page 33: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

VIVA QUESTION

1. What is echo cancellation?

2. Difference between convolution and correlation.

3. Explain LMS algorithm

4. Difference between subplot, stem, grid and plot used in MATLAB Coding.

5. List the formula used to calculate the MSE value of the signal.

RESULT

33

Page 34: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

Ex. No.9 PSEUDO RANDOM SEQUENCE

AIM To design a pseudo random sequence generator

PSEUDO RANDOM SEQUENCE GENERATOR

linear feedback shift register

THEORY

Pseudo random Noise sequence generator is a circuit which generates pseudo random noise sequences. A

pseudo- noise sequence is a binary sequence with a noise like wave form that usually generated by means

of a linear feedback shift registers (LFSR). A linear-feedback shift register (LFSR) is a shift register whose

34

Page 35: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

input bit is a linear function of its previous state. The most commonly used linear function of single bits is

exclusive-or (XOR). Thus, an LFSR is most often a shift register whose input bit is driven by the XOR of

some bits of the overall shift register value.

The initial value of the LFSR is called the seed, and because the operation of the register is

deterministic, the stream of values produced by the register is completely determined by its current (or

previous) state. Likewise, because the register has a finite number of possible states, it must eventually

enter a repeating cycle. However, an LFSR with a well-chosen feedback function can produce a sequence

of bits which appears random and which has a very long cycle.Applications of LFSRs include generating

pseudo-random numbers, pseudo-noise sequences, fast digital counters, and whitening sequences. Both

hardware and software implementations of LFSRs are common.

Applications such as spread-spectrum communications, security, encryption and modems require

the generation of random numbers. The most common way to implement a random number generator is a

Linear Feedback Shift Register (LFSR). Codes generated by a LFSR are actually "pseudo" random,

because after some time the numbers repeat. The trick is to use a shift register of sufficient length so that

the pattern repeats after some extremely long time.

VIVA QUESTION

1. Explain PRNG.

2. What is the other name of PRNG?

3. Difference between LFSR and PRNG.

4. What are the problems faced by PRNG?

5. What is a pseudo-random generator? Why can it be useful?

RESULT

Ex. No.10 DESIGN OF ARITEMETIC LOGIC UNIT

35

Page 36: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

AIM

To write HDL program for designing arithmetic logic unit and simulate it using XILINX ISE9.2i.

TYPICAL SCHEMATIC SYMBOL OF AN ALU

• division-by-zero

4 BIT ALU

Functional table of the 4 bit arithmetic circuit

36

A and B: the inputs to the ALU

R: Output or Result

F: Code or Instruction from the Control Unit

D: Output status; it indicates cases such as:

• carry-in

• carry-out,

• overflow,

Page 37: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

S1 S0 Output Operation0 0 AB AND0 1 A+B OR1 0 AB XOR1 1 A1 Complement

Circuit diagram of the 2 bit logic circuit

Functional table of the 4 bit arithmetic circuit

Circuit Diagram of the 4 bit arithmetic circuit

37

Page 38: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

THEORY

In computing, an arithmetic logic unit (ALU) is a digital circuit that performs arithmetic and logical

operations. The ALU is a fundamental building block of the central processing unit of a computer, and

even the simplest microprocessors contain one for purposes such as maintaining timers. The processors

found inside modern CPUs and graphics processing units (GPUs) accommodate very powerful and very

complex ALUs; a single component may contain a number of ALUs.

Arithmetic Logic Unit, the part of a computer that performs all arithmetic computations, such as addition

and multiplication, and all comparison operations. The ALU is one component of the CPU (central

processing unit). A critical component of the microprocessor, the core component of central processing

unit. ALU comprises the combinational logic that implements logic operations such as AND and OR, and

arithmetic operations such as Addition, Subtraction, and Multiplication.

Mathematician John von Neumann proposed the ALU concept in 1945, when he wrote a report on the

foundations for a new computer called the EDVAC. Research into ALUs remains an important part of

computer science, falling under Arithmetic and logic structures in the ACM Computing Classification

System.

Functions of Arithmetic Logic Unit

38

Page 39: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

Almost all the actions of the computer are done by it. It gets its data from a certain computer

memory called processor register . After the data gets processed, its results get stored in output

registers of the arithmetic logic unit .

The arithmetic logic unit can perform integer arithmetic operations like addition, subtraction, etc.

It can also perform bitwise logic operations like AND, OR, XOR, etc.

The arithmetic logic unit performs bit-shifting operations like rotating or shifting a certain word to

either the left or the right by a given number of bits. These can also be represented as divisions by

2 and also multiplications by 2. These are the simple operations carried out by the arithmetic logic

unit.

VIVA QUESTION

1. Explain ALU.

2. Difference between CPU and ALU.

3. Different type of operators present in verilog

4. How many bits can be given as input.

5. List the application of ALU

6. Difference between VHDL and Verilog

RESULT

Ex. No.11 WIRELESS DATA MODEM

39

Page 40: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

AIM To communicate between two microprocessors using wireless data modems.

HARDWARE DESCRIPTION OF VCT-10A

Serial Input Sources

A

SerialDataIN

HARDWARE DESCRIPTION OF VCT-10B

40

FSK MODULATOR

RF TRANSMITTER

SQUARE WAVE

DEBOUNCE LOGIC

SERIAL DATA INTERFACE

RF Receiver FSK Demodulator

Serial Interface

Serial data output

Page 41: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

41

Page 42: EC2404 ESD Final Edited

Initialize the serial port and data transmission

Set Baud-rate as 300 MHz

Initialize the memory pointer of the data to be transmitted

Set the counter to verify EOF

Get the data

START

EOF Receive

d

STOP

EC2404 – Electronic System Design Lab

FLOWCHART FOR TRANSMITTER

42

Page 43: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

FLOWCHART FOR RECEIVER

YES

NO

43

Initialize the Data port and Receiver

Set Data rate as 300 MHz

Initialize the memory pointer for data to be stored

Set the counter to verify EOF

Get the data

START

IF EOF Receive

d

STOP

Page 44: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

PROGRAM FOR TRANSMITTER

PROGRAM FOR RECEIVER

44

Page 45: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

THEORY

Modem is contradiction of modulator and demodulator. A modem is typically used to send serial

data over a telephone line. The sending modem modulates the data into a signal that is compatible with

phone line and receiver modem demodulates the signal to get back the digital data.

HARDWARE DETAILS

The wireless data modem trainer is a basic model of a 300band simplex modem, simplex

transmission has been incorporated in this trainer in order to reduce the complexity of the model and also

for easy understanding of the circuitry. Also the modem has been split up into transmitter and receiver for

the same cause.

1. SERIAL INPUT SOURCE

The input signal data to the modem comes for a digital system is designed using 8085up and an 8 bit

microcontroller like 8051 a personnel computer or any other digital source. The pin configuration of a pin

D type male connector of VCT- 10A is

Pin 2 = Data In

Pin 4 = RTS

Pin 5 = CTS

Pin 7 = GND

2. FSK MODULATOR

XR2206 IC is used for frequency shift keying. The XR2206 is monolithic function generator IC which can

be used for generating different waveforms and they can also be used for generation of FM and FSK

signals.

Frequency Shift Keying (FSK) is a modulation Data transmitting technique in which carrier frequency is

shifted between two distinct fixed frequencies to represent logic 1 and logic 0. The low carrier frequency

represents a digital 0 (space) and higher carrier frequency is a I (mark). FSK system has a wide range of

applications in low speed digital data transmission systems.

45

Page 46: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

3. RF RECEIVER

The RF Receiver used in VCT 10B Receives the RF signals of 433.92MHz and demodulates them to retrieve

back of the FSK signal transmitted from VCT 10A. The Output consists of the FSK signal with 1070Hz and

1270Hz frequency components in it.

4. FSK DEMODULATOR

FSK demodulator in a combination of PLL (LM565) and comparator (Op-amp). The frequency-changing

signal at the input to the PLL drives the phase detector to result in rapid change in the error voltage, which

is applied to the input of the comparator. At the space frequency, the error voltage out of the phase

detector is below the comparison voltage of the comparator. The comparator is a non-inverting circuit, so

its output level is also low. As the phase detector input frequency shifts low (to the mark frequency), the

error voltage steps to a high level, passing through the comparison level, causing the comparator output

voltage to go high. This error voltage change will snap the comparator output voltage between its two output

levels in manner that duplicates the data signal input to the XR22OS modulator. The free running frequency of

the PLL (no input signal) is set midway between the mark and space frequencies. A space at 2025 Hz and mark

at 2225 Hz will have a free running VCO frequency of 2125 Hz.

5. SERIAL INTERFACE

The Serial interface circuitry makes use of MAX 232 deriver IC for signaling conditioning of the data to

make it suitable for transmission through RS232 standard.

The data in TTL logic is converted to bipolar logic by this interface circuit.

A LED is provided on the front panel of both VCT 10 A and VCT 10B to indicate the dataflow and also to

verify the transmission of an board signals like the square wave and the debounce logic.

46

Page 47: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

VIVA QUESTION

1. Write the advantage FSK compared to PSK.

2. What is the disadvantage of FSK compared with ASK & PSK?

3. Define WDM.

4. List out the application of Wireless data modem

5. What is EOF?

RESULT

47

Page 48: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

Ex. No.12 SWITCHED MODE POWER SUPPLY

AIM

To design a switched mode power supply and to obtain line & load regulation.

PIN DIAGRAM

IC 555

LM339

IC 7805

48

IC 555

Ground

Trigger

Output

Reset

Vcc

Discharge

Threshold

Control Voltage

7

1

2

3

4 5

6

8

Page 49: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

CIRCUIT DIAGRAM

49

3 DISCHG 7 CV 5 NE555 RST 4 THR 6 THG 2 VCC 8

Vin Vout

1KΩ

47KΩ

100KΩ

17

126

3

LM339

1MΩ

1KΩ

1KΩ

10KΩ

1KΩ100mH

IN40012N22222f

+15V DC Power Supply

2N222

1nf

1MΩ

IC7805

1 3

10KΩ

Page 50: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

THEORY

SMPS was introduced to overcome the limitations of linear regulations with low efficiency. The

Principle is pulse width modulation. It is non-dissipative type regulation. It is operated in switched i.e...

either in Cutoff or in Saturation region.

The output pulse width is varied in accordance with the driver circuit .The transformer

drops the DC signal and converts it AC. The capacitor acts as a filter and the constant output is produced.

In SMPS, 50Hz step down transformer, with the voltage level transformation is carried out

at very high frequency of order of 10 to 100KHz.Size of the transformer as well as filter circuit is reduced

because of high frequency operation.

CALCULATION

50

Page 51: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

TABULAR COLUMN

LOAD REGULATION (AT CONSTANT LOAD RESISTANCE)

LINE VOLTAGE LOAD VOLTAGE

LOAD REGULATION AT CONSTANT VOLTAGE 15V

LOAD RESISTANCE LOAD VOLTAGE

51

Page 52: EC2404 ESD Final Edited

EC2404 – Electronic System Design Lab

VIVA QUESTIONS

1. What is a switching power supply?2. List out the application of SMPS.3. Difference between linear power supply and Switched mode power supply.4. Draw the block diagram of switched mode power supply.

RESULT

52