Dry Etchiing

download Dry Etchiing

of 51

description

RIe etching

Transcript of Dry Etchiing

  • Prof. K.N.Bhat 1

    Dry Etching: Physical and Plasma Etching, RIE, DRIE

    Professor K.N.Bhat Department of CeNSE

    Indian Institute of Science Bangalore-560 012

    Email : [email protected]

    E3-222 Micromachining for MEMS Technology

    Module- 5

  • Prof. K.N.Bhat 2

    Problems with wet Chemical Etching (1) Wet chemical etching invariably produces ragged edges because due to capillary action tends to cause penetration of the liquid etchant under the pattern defining the film (usually a photo-resist)

    (2) Wet chemical etching of thin films such as Polysilicon, metal , SiO2, silicon nitride etc are isotropic in nature. Therefore if the thickness of film being etched is comparable to the minimum lateral pattern dimension, the undercutting due to such isotropic etching becomes intolerable as shown below

    10m 6m 4m 2m PR

    Silicon SiO2 1.0 m

    PR patterned on SiO2 on Si

    After wet chemical etching of SiO2 using the PR mask

  • Prof. K.N.Bhat 3

    Poly etching Wet Etching with HNA( HF:HNO3 :H2O :: 6:100:40) Etching time : 3mins to 10 mins

    The patterned polysilicon structures were observed using WYKO surface profiler. Undercutting was observed more aggressive at the anchor portion and the edges due to sharp corners

    Comparison of Wet etching with Dry etching

  • Prof. K.N.Bhat 4

    Fixed Poly-Si capacitor plates

    Polysilicon Proof Mass and Moving electrodes

    Suspension system Anchor

    Etching Polysilicon with fine geometry such as shown is difficult with wet chemical Etching

    ADXL Accelerometer

  • Prof. K.N.Bhat 5

    Dry Etching an alternative to Wet Chemical Etching (WCE)

    Dry Etching offers the capability of anisotropic etching in amorphous, polycrystalline and crystalline to achieve micron size patterns

    It can serve as a replacement to WCE Dry Etching also eliminates the important manufacturing problem of handling, consumption and disposal of the large quantities of dangerous acids and solvents used in WCE

    Dry etching and resist stripping enables stripping hardened photo resist which served as mask during ion implantation.

    On the negative side, dry etching needs capital intensive equipment

  • Prof. K.N.Bhat 6

    Dry Etching Dry Etching is synonymous with Plasma Etching because in all the dry etching process a gas plasma of either chemically inert or chemically active species is used

    Plasma is a fully or partially ionized gas composed of equal number of positive and negative charges and different unionized molecules and radicals

    Plasma is produced by the collision of electrons energized by electric field of sufficient magnitude, causing the gas to breakdown and become ionized.

  • 7

    Particles in Plasma

    Energy

    Molecules in Gas Electrons

    Anions

    Cations

    Radicals

    Vibration Excited

    Molecules

    Electronically Excited

    Molecules

    Photons

    Radicals exist in Reactive gas plasma in much higher concentration than ions , because they generate at faster rate and they survive longer than ions

  • Prof. K.N.Bhat 8

    Radical is an atom or a collection of atoms, which is electrically neutral .

    Radical exists in a state of incomplete chemical bonding , making it very highly reactive.

    Examples of Radicals are F, Cl, O, H, and CFx (x=1,2 or 3). In CF4 plasma the most abundant radicals are CF3 and F

    Ionization Reaction:

    Dissociation Reaction:

    Examples of Radicals and reactions in plasma

    e + Ar Ar+ + 2eInert Gas ionization

  • Prof. K.N.Bhat 9

    Types of Dry Etching Process

    1.Physical Basis: Sputter Etching (Glow Discharge of inert gas)

    2.Chemical Basis:Plasma Etching (glow discharge of reactive gas)

    3.Combination of 1 and 2: Reactive Ion Etching (RIE) 4. Deep Reactive Ion Etching (DRIE) : A Special case of RIE

    There are mainly FOUR types based on the Etching Mechanism using glow discharge

  • 10

    1(a).Dry Etching Physical Basis: DC Sputter Etching A glow discharge is a self sustaining type of plasma initiated by breakdown of heavy inert gas such as argon, by impressing electric field between two electrodes in a chamber. Gas pressure 20-50 mTorr.

    x

    X= ( 0 to d ) the energy of electrons (11.5eV < E 15.7eV for Ar. Between x=0 and x=d ionization energy is 11.5eV< E < 15.7eV. D is the Crookes dark space and has mostly ions .

    V = 2KV

    R 0

    h d D

    x

    To pump

    Argon gas

    Plasma

    Target

  • Prof. K.N.Bhat 11

    Once the gas glow discharge occurs the multiplied electrons reach the anode and the Ar+ ions bombard the cathode and the substrates which are placed on it, resulting in sputtering by momentum transfer.

    Secondary electrons (about 1 per 10 incident ions) emitted at the cathode participate in sustaining the glow discharge by ionizing collisions with argon molecules.

    Sputter Etching in a glow Discharge relies predominantly on the physical mechanism of sputtering. The strongly directional nature of incident energetic Argon ions allows substrate materials to be removed in a highly anisotropic manner. Hence, no selectivity between materials.

    Note : The source of electrons which initiate the ionization process for the glow discharge is due to (i) Field Emission from the cathode, and (ii) Some electrons are always present in gaseous medium due to cosmic ray stimuli or photoemission.

  • Prof. K.N.Bhat 12

    When the negatively charged cathode is bombarded by ions, the front surface of insulator gets positively charged and this charge increases with time of bombardment.

    This causes the potential difference between the cathode and the anode to decrease

    Limitation of DC Sputtering System DC Systems can not be used to Sputter insulators:

    The glow discharge extinguishes once the potential difference drops below the sustaining voltage. In practical systems the time required for the cathode to acquire this charge is 1 to 10 S.

    V

    R

    To pump

    Argon gas

    + + +

  • 1(b).Dry Etching Physical Basis: RF Sputter Etching Using ac voltage to the electrodes, the sputtering process for insulators is possible provided the frequency is high .

    Positive charge accumulated at the target surface (electrode-A) during the negative part of the cycle is replaced by electrons during the positive part of the cycle , so that net charge accumulated on the insulator during each complete cycle is zero

    The duration of the negative cycle on electrode-A should be less than 1-10 S to ensure that the glow does not extinguish due to charging . Hence the signal frequency should be at least 50KHz to 1 MHz

    pump

    Argon gas

    Matching network

    C

    A

    B

    RF

    VA + -

    - +

    VB

    In practice most ac glow discharges (sputtering processes, and plasma /RIE dry etch process) are operated at 13.56 MHz

  • Prof. K.N.Bhat 14

    During the initial cycles of the signal, more electrons (lighter particle) are collected by the electrode A (insulating target electrode) compared to the ions (heavier particle).

    As more cycles transpire, the negative charge continues to build up and the voltage VA keeps increasing till the number of electrons impinging on the electrode during a full cycle is same as the number of ions impinging.

    Self bias voltage VA and the Plasma Potential

    If this steady state self bias voltage VA developed between the glow discharge and the electrode is sufficiently large, ions will be accelerated strongly enough towards the cathode (electrode A) to cause etch the target material placed on the electrode A

  • RF

    The electrode B also my develop such voltage . However this Voltage VB is small due to charge leakage to the grounded conducting electrode B . In addition, if the area of electrode B is large compared to the area of electrode A, then the voltage ratios are given by the relation

    Theoretical value of

    n = 4. Experimentally measured n < 2

    VP is called the plasma potential or the sheath voltage. The grounded electrode is tied to the chamber body. The coupling capacitor C ensures that the electrons do not leak out even if the target is conducting . NOTE that in RF Sputtering, the target is placed on the RF driven electrode (Cathode).

    C

    0

    VP =VB Matching network

    Electrode B Electrode A

    RF

  • Prof. K.N.Bhat 16

    Benefits of sputtering in RF glow discharge

    RF glow discharge Can be used for sputter etching and deposition of both conducting and insulating materials.

    RF discharges have shown to be more efficient in promoting and sustaining plasma discharge. Hence they can be operated at 2 - 5mTorr, which is lower pressures than DC glow discharge systems by a factor of about 20

    Operation at 4mTorr results in a mean free path of 1.25cm . Thus collision at cathode sheath region are greatly reduced resulting in highly directed ion beam

    Thus operation at lower pressure, results in higher anisotropic etch characteristics

  • 17

    2. Dry Etching (Chemical Reaction) : Plasma Etching (Dry Etching Carried out in glow discharge of reactive gas)

    The etching gas is selected to generate species which react chemically with material to be etched and whose reaction products are volatile.

    RF glow discharge produces chemically reactive species (atoms, radicals and ions) from relatively inert molecular gas.

    Basic Steps taking place in dry etching:

    (1)Generation of etchant species in plasma. (2) Diffusion of the species to the surface of the film. (3) Adsorption. (4) Reduction . (5) Desorption and diffusion into bulk gas and pump out the volatile products

  • Radical exists in a state of incomplete chemical bonding , making it very highly reactive.Examples of Radicals used in plasma etching are F, Cl, O, H, and CFx (x=1,2 or 3).

    Examples of reactive species for plasma etching :

    (1) F etches Si, and Si3N4. CF3 etches Oxide.

    (2)O Etches photo resist

    (3) Cl reacts with Aluminum to give volatile AlCl3 ( usually BCl3 is added to Cl2 because Cl does not react with Al2O3 )

  • Prof. K.N.Bhat 19

    Plasma Etching (Dry Chemical Etching)

    1. Relies on chemical mechanisms for etching

    2. Exhibits high selectivity against both mask and underlying substrate layer.

    3. Isotropic because it is purely chemical reaction.

    Dry etch processes which rely only on chemical process are not able to solve the problem of undercutting associated with wet chemical isotropic etching .

    Suitable for photo resist stripping using oxygen plasma

  • Prof. K.N.Bhat 20

    Plasma Reactor (a) RF excited reactor Loading port

    RF Power =100-300 Watts

    Wafers on carrier

    gas

    Pump

    40-50C is sufficient to cause oxidation or burning of Photo Resist by these radicals

    1m thick Photo resist can be ashed in 5- 10 minutes

    Quartz reaction chamber

  • Prof. K.N.Bhat 21

    (b) Modern barrel or tunnel type unit

    Gas

    Pump

    boat

    wafers

    Perforated shield

    RF

    Multiple gas inlets are used for uniformity of etching

    Conductive mesh (shield) is used for shielding the wafer from radiation damage and sputtering effects due to ion bombardment

  • Prof. K.N.Bhat 22

    (c) Plasma Etching of Silicon The gases adopted for plasma etching process are selected on the basis of their ability to form reactive species in a plasma.

    SF6 or CF4 are used for dry etching of Silicon

    Free radical dissociated from SF6 or CF4 is mainly responsible for silicon etching.

    These radicals react with Silicon and lead to volatile product SiF4 which is pumped out to exhaust

    where

  • Planar Plasma Etching system Areas of the two electrodes are equal and hence V2 is small

    Substrate to be etched is placed on the grounded electrode.

    This situation is purely dry chemical etching and hence isotropic Etching .

    RF

    Plasma glow

    Pump + Exhaust Substrate

    CF4

    V1

    V2 +

    NOTE: (1) When a glow discharge of CF4 is created, it is not the CF4 molecules themselves that participate in the etching mechanism. Instead etching is accomplished by F radical species which are created by dissociation of CF4 molecules. (2) Molecular F2 reacts spontaneously with Si but leaves a pitted rough surface

  • Plasma Enhanced Chemical Vapor Deposition (PECVD) system configuration same as the Plasma Etching system.

    RF

    Plasma glow

    Pump + Exhaust

    Substrate

    Gas intet

    V1

    V2 +

    (1)The substrate is placed on bottom electrode heated to 200-300C

    (2)RF power is applied to the top electrode.

    (3) Deposition of Si or SiO2 or SixNy or SiOxNy is determined using appropriate gases at the input.

    (4) Deposition Pressure in the range 200 - 300 mTorr

  • 3.Dry Etching Reactive Ion Etching

    Anode A of area (Chamber wall )

    Electrical Insulation

    Argon and CF4 Gas inlet

    Cathode,C of area AC RF

    Substrate

    Plasma glow

    Va +

    +

    _

    Vc -

    To Pump & Exhaust

    Electrode A is the chamber wall and its area is large compared to cathode electrode C.

    C is the RF driven and A is grounded

    n is between 1 and 2

    Etching occurs due to the combined effect of ion bombardment and the reaction of the radicals with the substrate material

    Combined effect of chemical reaction and physical bombardment in a glow discharge plasma

  • Prof. K.N.Bhat 26

    RIE is the combined Effect of Reactive gas and energetic Ar ions (450eV) (Experimental Illustration)

    0 200 400 600 800

    60

    40

    20

    Silicon Etch rate (/min)

    Time (Seconds)

    (1)

    (2)

    (3)

    (1) XeF2 gas only (No plasma)

    (2) XeF2 + Ar+ ion beam with an energy 450eV directed at Silicon. Etch rate enhanced 10 times that with XeF2 alone

    (3) Ar+ ion beam alone directed at the Si surface. Smallest etch rate of three processes

    Ref: J.W Coburn, J.Appl. Phys. Vol 50, P3189, 1979

  • Energetic ions assist in enhancing directional etching Process produced by reactive gas

    (1) Relatively high energy impinging ions ( > 50eV) produce lattice damage at the surface being etched. These damages extend several mono layers beneath the surface. Reaction at these damaged sites is enhanced compared to reaction at the sidewalls where no damage has occurred, because the sidewalls receive much smaller flux of bombarding energetic ions.

    (2) However if the sidewalls are not protected, the lateral etching will still be present. If the etching gas is a fluoro carbon like the CF4 or C4F8 (Octafluorocyclobutane) , non volatile polymer layers that deposit on the Vertical surfaces which are not struck by the ions retain their blocking layer, and hence protect against etching by the reactive gas

  • Prof. K.N.Bhat 28

    Halogen compounds: considered Fluorocarbon carbons and chlorocarcons: They have a tendency to form polymeric products in plasma environment

    These polymers land on substrate and prevent desorption of reaction products so that etch reactions could be arrested.

    Ion bombardment serves to remove to remove these species, then allowing the reaction to continue.

    If the beam is well directed , it will be ineffective in removing polymers from the sidewall.

    This leads to highly anisotropic etching with minimum undercutting.

  • Prof. K.N.Bhat 29

    The extent of side wall passivation is related to the carbon : halogen ratio in the fluorocarbon

    Gases such as CF4, C2F6 and C4F8 are used to adjust this ratio

    A large self bias and a reduced system pressure both result in improvements in anisotropy by increasing the intensity of directed bombardment

  • Prof. K.N.Bhat 30

    Dry Etching of Silicon , SiO2 and Si3N4 Several Fluorocarbons (CF4, C2F6 and CHF3) are used.

    CF4 is very popular because of its stability.

    The F-radical is the primary active species which etches silicon by converting to SiF4 which is a stable volatile reaction product.

    SiF2 does not readily desorb since it is chemically bonded to the wafer. Impinging F atoms penetrate this layer and attack subsurface Si-Si bonds until` an SiF4 entity is formed. This can desorb with minimum energy since no more bonds connect it to silicon

  • Prof. K.N.Bhat 31

    CF3 species are responsible for etching of SiO2 . Energetic ions provide energy to carbon in the CF3 to force it to react with oxygen released from the SiO2 to form CO and COF2. Next, the F atoms replace the oxygen sites in SiO2 with F atoms to ultimately form SiF4 which easily desorbs from the SIO2 surface

    Dry Etching of SiO2

  • Prof. K.N.Bhat 32

    Dry etching of Si3N4

    Etching of silicon nitride films occurs by the direct reaction of F with the nitride

  • Prof. K.N.Bhat 33

    Dry Etching in a gas mixture of H2 and CF4

    This reaction reduces the F density , causing a reduction in Si Etch rate

    But the SiO2 etch rate does not fall much because CF3 radicals responsible for this reaction are available in plenty

  • Prof. K.N.Bhat 34

    Selectivity between Si and SiO2 is necessary so that at the end of SiO2 etching , the RIE does not etch Si

    It is also necessary to prevent Photo resist from getting etched.

    It is possible to use a CF4 H2 gas mixture or CHF3 gas for achieving selectivity between Si, SiO2 and Photo resist

    Silicon

    SIO2

    Photo resist

  • Prof. K.N.Bhat 35

    Effect of addition of H2 to CF4 gas plasma on the etch rates of Si , SiO2 and photo resist

    0 10 20 30 40 50

    50

    40

    30

    20

    10

    Etch rate nm/ min

    Percentage H2 in CF4

    60 RF Power = 0.26W/cm2

    Pressure = 4.7Pa Flow rate = 28sccm

    SiO2 AZ 1350 B

    Si

    Reference: S.K.Ghandhi, VLSI Fabrication Principles, 2nd edition, John Wiley and sons, 1994, page 633

  • Prof. K.N.Bhat 36

    It is possible to use a.CF4 H2 gas mixture or CHF3 (trifluoro-methane) gas for achieving selectivity between Si, SiO2 and Photo resist

    The introduction of H2 into the system results in subsidiary reaction ,

    This suppresses the fluorine concentration and hence the etch rate of Si.

    The strong reducing character of CF3 ensures that SiO2 etch rate does not fall with he addition of H2 Etch rate of SiO2 / Etch rate of Si equal to 35 has been achieved

  • Prof. K.N.Bhat 37

    Effect of Bias voltage on RIE Etch profile and anisotropy

    With 10% H2

    Silicon Etch rate

    Bias voltage VB (volts) 0 -100 -200

    Rx Rz0

    Without H2

    -150 - 50

    RzH

    H2 % in CF4

    Silicon Etch Rate VB =-150V

    Rx

    RzH

    0 10 20 30 VB =0

    Rz0

    x

    VB = -150V, H2 =0 Silicon

    z0

    Mask

    Silicon

    zH

    Mask

    VB=-150V, H2 =10%

    Rx and Rz are etch rate in x and z directions

  • Prof. K.N.Bhat 38

    Silicon Etch rate , Rx in the lateral direction x is finite if H2 = 0 in the CF4 gas . Vertical etch rate is Rz0 due to bias voltage and field in the z-direction. z0 > x

    By adding 10% H2 to CF4 the etch rate in the x direction can be reduced to zero.

    Addition of H2 reduces the vertical etch rate also. (eg) with 10% H2 It is zH .As shown in the figure zH

  • 39

    MFC MFC

    solenoidal planar

    RIE Systems with ICP plasma source

    Pressure Range 0.1 ... 20 Pa Power range 100W..1200W Plasma Density up to 1012 /cm3 . Min. Ion Energy 10 eV. With the Inductively Coupled Plasma (ICP), high plasma density can be achieved and the RIE Power can be independently controlled to adjust the bias

  • Load Lock system in the PECVD and RIE systems

    To Scrubber and vent

    Rotary Pump (Dry pump) Turbo pump

    (High speed pump) + dry rotary pump

    Main Chamber Load Lock system

  • End Point Detection (EPD) System Photo resist

    Silicon SIO2

    EPD schemes are important to terminate the etch procedure at the precise point when the entire film (SiO2) has been removed as shown in the figure (b). There are mainly three techniques:

    (1)Monitor Optical reflectivity of the surface as the film is removed (2) Measure the film thickness during the etch process using optical interference pattern (3) Measure the change in the optical emission during the plasma etching using Optical spectrometer (eg) when Si is etched emission =777nm due to the etch product SiF

    Silicon SIO2

    Fig.(a)

    Fig.(b)

    In some cases, when the etched material changes, the shift of the color is so strong that you can see it with your eyes trough the window of the plasma chamber. In order to measure the light emission from the plasma, it is possible to use an optical spectrometer.

  • Prof. K.N.Bhat 42

    Species and Emission Wavelength for Optical End Point Detection

    Film Species Monitored

    Wavelength (nm)

    Resist CO OH H

    297.7, 483.5, 519.8 308.9 656.3

    Silicon, PolySi F SiF

    704 777

    Silicon Nitride F CN N

    704 387 674

    Al AlCl Al

    261.4 396

  • Prof. K.N.Bhat 43

    Deep Reactive Ion Etching (DRIE)

    Processes designed specifically for deep etches into silicon are called DRIE

    Two approaches (1) Cryogenic Process. (2) Bosch Process

    They differ from each other in some parts of the setup. But both use Inductively coupled plasma (ICP) ICP is used to create a magnetic envelope inside the etch chamber, which reduces the loss of charged particles to the surroundings and helps to achieve and maintain a higher plasma density.

  • Prof. K.N.Bhat 44

    ICP systems generally have better uniformity, better selectivity to mask layers, higher etch rates and they provide the opportunity to achieve deeper etches maintaining the anisotropy compared to RIE.

    On all counts, Inductively Coupled Plasma deep RIEs perform better than traditional parallel plate RIE when etching silicon .

    ICP DRIE systems with Bosch process and Cryogenic process are commercially available

  • Prof. K.N.Bhat 45

    Cryogenic DRIE

    Cryogenic DRIE uses a setup much like that of a standard RIE with parallel plates.

    However the stage for the wafer is cooled much more efficiently and to much lower temperatures (with helium ).

    Cooling the substrate lowers the surface mobility of reactive ions. This ensures that the ions hitting the target do not migrate. This leads to very little etching of sides of the trench at cryogenic temperatures. Higher anisotropy is therefore achieved

  • Prof. K.N.Bhat 46

    Bosch DRIE Bosch DRIE uses cycles of etching and sidewall passivation.

    The cycle time is 5- 30 seconds. The cycles result in uneven etching of sidewall, creating scallops as shown

    The size of scallops depend on the cycle time. Typical scallop depths are 100 -200 nm.

    The Bosch process is named after the company where it was invented

    Polymer layer on the sidewall

    F Radical Volatile product

    Silicon wafer

    Photo-resist

    Side wall Scallops

    Silicon

    +Ion

  • Prof. K.N.Bhat 47

    Etching and passivation chemistry for Bosch Process

    SF6 is used for etching. A negative bias (- 5 to 30 V ) is developed at the substrate during etching.

    C4F8 (Octa-fluoro-cyclo-butane) is used for coating (passivating) all exposed surfaces (sidewalls and horizontal surfaces) with Teflon-like polymer layer of thickness approximately 50 nm.

    Ion bombardment with a small applied bias voltage is used during the polymerization step, to prevent polymer formation on horizontal surfaces.

    Neutral + Ion

    Polymer coating

    PR

    Volatile Product

  • DRIE Characteristics Silicon Etch rate of 2 to 5 m / minute can be easily achieved.

    Maximum etch rates of 20 m / minute in Silicon have been reported using Photo-resist mask .

    Etch depths of the order of 500 m 1000 m can be obtained

    Aspect ratio of up to 30:1 and better can be achieve

    Photo resist selectivity of 50:1, and SiO2 selectivity of 120 to 200:1, with silicon have been achieved due to the high plasma density of 1011 -1012 per cm3 which is about two orders of magnitude higher than that in the conventional RIE systems

    30

    1

  • Prof. K.N.Bhat 49

    Fixed Poly-Si capacitor plates

    Polysilicon Proof Mass and Moving electrodes

    Suspension system Anchor

    Combination of surface micromachining and RIE for realizing accelerometer structures of polysilicon

    ADXL Accelerometer

  • Prof. K.N.Bhat 50

    Example of 3D structures using SFB and DRIE Etching

    Pattern and Etch first Si wafer

    Bond second wafer by SFB (Silicon Fusion Bonding) or Eutectic bonding Pattern with PPR

    Etch through the pattern by DRIE

  • Prof. K.N.Bhat 51

    Combination of Surface micromachining and RIE can be used to realize polysilicon structures and devices such as accelerometers

    Addition of hydrogen enhances the anisotropy in RIE and DRIE

    Three Dimensional structures with high aspect ratio can be realized using silicon DRIE and wafer bonding technique

    Summary