Diseño y control de escalera electrica mediante implementacion con codigo vhdl en fpga

7

Click here to load reader

Transcript of Diseño y control de escalera electrica mediante implementacion con codigo vhdl en fpga

Page 1: Diseño y control de escalera electrica mediante implementacion con codigo vhdl en  fpga

Universidad ECCI JECC-2014

DISEÑO Y CONTROL DE ESCALERA ELECTRICA MEDIANTE IMPLEMENTACION

CON CODIGO VHDL EN FPGA

Serna R. Yeison, Ángel R. Jeisson y Virviescas R. Byron,

[email protected], [email protected], [email protected]

Universidad ECCI

UNIECCI

Bogotá-Colombia

Resumen: El presente documento da a

conocer la implementación de un modelo

a escala de una escalera eléctrica,

controlada por una máquina de estados y

sensores los cuales van a ser utilizados

para el movimiento de la misma. Además

se presentara el funcionamiento

comercial que se puede lograr con el

control mediante un medio remoto.

Palabras Claves. FPGA, Integrado,

Estados.

I. INTRODUCCION:

Que es una FPGA se empezara con una breve descripción.

Una FPGA (del inglés Field Programmable Gate Array) es un dispositivo semiconductor que contiene bloques de lógica cuya interconexión y funcionalidad puede ser configurada 'in situ' mediante un lenguaje de descripción especializado. La lógica programable puede reproducir desde funciones tan sencillas como las llevadas a cabo por una puerta lógica o un sistema combinacional hasta complejos sistemas en un chip.

A continuación describiremos una reseña histórica de lo que ha sido una escalera

eléctrica y su evolución a medida que va transcurriendo el tiempo. Las escaleras móviles, una especie de elevador inclinado, subían a los pasajeros sobre una cinta transportadora inclinada a un ángulo de 25°,fue todo un éxito y más de 75000 personas se subieron durante las dos semanas que duro su exhibición en el parque de diversiones. El estadounidense jesse wilford reno, nacido en 1861 en Kansas, era un joven inventivo que formulo su idea de una escalera inclinada móvil cuando tenía tan solo 16 años, después de graduarse de ingeniero en Pennsylvania, su carrera lo llevo a colorado y a Georgia, done fue el constructor de la primera vía ferroviaria eléctrica en el sur de los estados unidos. Otro inventor, charles seeberger, desarrollo en 1987 una escalera movible con escalones de madera. Los dos inventos fueron presentados en 1900 durante la exposición internacional de parís. Futuros desarrollos, como la cinta de dos velocidades, actualmente dn fase de pruebas, beneficiaran de forma notable al usuario reduciendo los tiempos de traslado, sobre todo en las grandes superficies o en aeropuertos en donde se necesitan grandes desplazamientos para ir de algunas zonas a otras.

Page 2: Diseño y control de escalera electrica mediante implementacion con codigo vhdl en  fpga

Universidad ECCI JECC-2014

Actualmente hay al menos un modelo, el turbo track de Thyssen Krupp que desplazan de manera horizontal personas con velocidad de 2m/s, consiguen tiempos record en distancias de hasta un kilómetro. Con este ritmo turbo track transporta a su destino a casi 15.000 personas por hora. Existe una instalación en el Aeropuerto de Toronto.

II. IMPLEMENTACION DE ESCALERA ELECTRICA CON CODIGO VHDL EN FPGA

Para el desarrollo de esta escalera eléctrica, necesitaremos definir los materiales a implementar en nuestro proyecto, y además de esto el funcionamiento general de nuestro sistema para empezar a realizar la definición de la máquina de estados. La funcionabilidad de la máquina de estados que decidimos realizar para nuestra escalera eléctrica es la siguiente: Se realiza un sistema de control de una escalera eléctrica bidireccional. Se cuenta con dos pulsadores P1 y P2, como se muestra en la figura. Cuando se activa un sensor, la escalera empezara a andar en dirección al pulsador restante y no parara hasta que se active el otro sensor se debe mostrar el comportamiento de la dirección de los motores, así como su estado (Encendido o apagado) mediante dos leds.

Figura 1. Bosquejo idea principal de

implementación En nuestro planteamiento y escalera eléctrica a escalas, podemos plantear los siguientes estados para el funcionamiento correcto del sistema.

1) Escalera sin movimiento 2) Escalera en movimiento

ascendente 3) Escalera en movimiento

descendente

Una vez definidos los estados en que queremos que funcione nuestro prototipo procederemos a seleccionar las entradas y salidas con sus respectivos estados.

Nuestras entradas serán definidas como los pulsadores ubicados en la FPGA, para hacer referencia a los sensores actuadores, y nuestras salidas tendrán la acción (A) y el sentido (S) del motor, las transiciones serán de la siguiente manera: P1, P2 y AS. Comenzaremos definiendo nuestros pasos.

Page 3: Diseño y control de escalera electrica mediante implementacion con codigo vhdl en  fpga

Universidad ECCI JECC-2014

Paso numero 1: Definición de los estados. - Una persona censa el paso

por el sensor P1, luego esta debe empezar a realizar su movimiento descendente, hasta que la persona llegue a su punto, en este caso sensor P2 se activara y la escalera se detendrá.

- Cuando ninguno de los sensores presente algún cambio la escalera no efectuara ningún movimiento.

QA QB Estados

0 0 E0

1 0 E1

0 1 E2

Tabla 1.

Paso numero 2: Representación

grafica de los cambios posibles.

Figura 2.Estados de nuestra maquina

A=0 motor estable

A=1 motor en movimiento

A=0 desplazamiento ascendente

A=1 desplazamiento descendente

Paso numero 3: tabla de

combinaciones posibles.

Esta

do

Q

B

Q

A

ECUAC

ION

ESTA

DO*

Q

B*

Q

A*

E0 0 0 P1,P2~ E1 0 1

E0 0 0 P1~,P2, E2 1 0

E1 0 1 P1~,P2, E0 0 0

E2 1 0 P1,P2~ E0 0 0

Tabla 2.

Nuestra escalera eléctrica no funcionara

si se activan los dos sensores al mismo

tiempo, en caso real si se presentaran dos

personas en los sensores la escalera no

ascendería ni descendería por cuestión

de bloqueo, es decir no tendrá

movimiento.

Paso numero 4: Esquema lógico

y Ecuaciones.

QB*=QB~ QA~ P1~P2

QA*=QB~QA~ P1 P2~

A partir de estas ecuaciones se empieza

a realizar el esquemático de la escalera el

cual va a tener su reset para reiniciar el

sistema y sus entradas y salidas (A y B)

con su respectivo vector de salida.

Se presenta a continuación la imagen del

sistema esquemático diseñado para el

funcionamiento de la escalera eléctrica.

Page 4: Diseño y control de escalera electrica mediante implementacion con codigo vhdl en  fpga

Universidad ECCI JECC-2014

Figura 3. Esquemático máquina de

estados

Describiremos los materiales que

utilizaremos en nuestro proyecto para la

implementación de la escalera eléctrica.

Motor D.C 5v

Madera tipo bálsamo

Tornillería

Banda elástica

FPGA

Integrado LM 358 Amplificador

Amplificador Lm 358

El amplificador Lm 358 tiene como

función en nuestro proyecto

realizar el aumento de voltaje D.C

de las salidas de la FPGA. Ya que

para el movimiento del motor

necesitamos un voltaje mínimo de

3.3 Vdc, y la FPGA nos entrega

como mínimo 1.7Vdc.

Esta implementación se realiza

conectando las salidas de la

FPGA directo al amplificador y las

salidas del amplificador se

conectan al motor D.C, para que

cuando pulsemos el sensor ya sea

A o B esta proceda a ascender o

descender nuestra escalera

eléctrica.

Figura 4. Amplificador de voltaje

Motor de corriente continúa

Figura 5.Motor dc giro en ambos

sentidos

El motor de corriente continua (denominado también motor de corriente directa, motor CC o motor DC) es una máquina que convierte la energía eléctrica en mecánica, provocando un movimiento rotatorio, gracias a la acción del campo magnético.

Una máquina de corriente continua (generador o motor) se compone principalmente de dos partes. El estator da soporte mecánico al aparato y contiene los devanados principales de la máquina, conocidos también con el nombre de polos, que pueden ser de imanes permanentes o devanados con hilo de cobre sobre núcleo de hierro. El rotor es generalmente de forma cilíndrica, también devanado y con núcleo, alimentado con corriente directa mediante escobillas fijas (conocidas también como carbones).

Page 5: Diseño y control de escalera electrica mediante implementacion con codigo vhdl en  fpga

Universidad ECCI JECC-2014

De esta manera empezamos con

el funcionamiento de nuestra

escalera.

Mostraremos algunas de nuestras

imágenes del prototipo

implementado.

CONCLUSIONES.

Una de nuestras

principales conclusiones

en cuanto al sistema, es

necesario amplificar los

voltajes de salida de la

tarjeta FPGA, debido a que

con ese voltaje tan bajo no

es posible realizar la

activación del motor de

Vdc.

Los sensores son muy

importantes en un sistema

de máquina de estados, ya

que por medio de ellos es

que podemos hacer

Page 6: Diseño y control de escalera electrica mediante implementacion con codigo vhdl en  fpga

Universidad ECCI JECC-2014

funcionar cualquier

sistema, utilizándolos

como actuadores.

De acuerdo a los

conocimientos adquiridos

tanto en clase teórica

como práctica, se realizó la

programación en FPGA

para que nuestra

aplicación que en este

caso es una escalera

eléctrica, pudiera adquirir

movimiento a partir de

mandos que se le

configuraban y asignaban

a la misma.

REFERENCIAS

Texas Instruments. LM 358, amplificador de voltaje. http://www.ti.com/lit/ds/symlink/lm158-n.pdf

Schindler. Implementación de una escalera eléctrica. http://www.schindler.com/content/es/internet/es/soluciones-de-movilidad/productos/escaleras-mecanicas/_jcr_content/rightPar/downloadlist/downloadList/78_1358182328418.download.asset.78_1358182328418/schindler_planning_es.pdf

Wikipedia. Historia de las escaleras eléctricas. http://es.wikipedia.org/wiki/Escalera_mec%C3%A1nica

AUTORES

A. Yeison Camilo

serna Raigoza-

nacido en ciudad de

Bogotá (1 septiembre

de 1989),

Actualmente

estudiante de

ingeniería

Electrónica, Laborando para

una empresa de

telecomunicaciones

reconocida, desempeñando el

cargo de coordinador de

mantenimiento.

Jeisson Wilver Ángel

Reyes- nacido en la

ciudad de Bogotá, (4

febrero -1991),

Actualmente

estudiante de 8vo

semestre de

Ingeniería Electrónica,

en la Universidad Uniecci. De

momento trabaja en la parte de

soporte de telecomunicaciones para

estaciones de servicio, empleando un

cargo como tecnólogo de

telecomunicaciones

Byron Virviescas

Rojo nacido en Pereira

(Risaralda), Colombia

el 29 de Septiembre de

1983, Estudiante de 8

semestre de ingeniería

electrónica en La

Page 7: Diseño y control de escalera electrica mediante implementacion con codigo vhdl en  fpga

Universidad ECCI JECC-2014

ECCI, graduado como tecnólogo de

soporte de telecomunicaciones en mayo

del 2013, A nivel profesional formado en

la parte de redes de telefonía, datos,

televisión y administración de contratos

en UNE. Como Director regional de

operaciones.