Digital Systems 10e

970
Digital Systems Principles and Applications Ronald J. Tocci Monroe Community College Neal S. Widmer Purdue University Gregory L. Moss Purdue University TENTH EDITION Pearson Education International

Transcript of Digital Systems 10e

  • Digital SystemsPrinciples and Applications

    Ronald J. TocciMonroe Community College

    Neal S. WidmerPurdue University

    Gregory L. MossPurdue University

    TENTH EDITION

    Pearson Education International

    TOCCMF01_0131739697.QXD 12/22/2005 09:09 PM Page iii

  • If you purchased this book within the United States or Canada you should be aware thatit has been wrongfully imported without the approval of the Publisher or the Author.

    Director of Development: Vern AnthonyEditorial Assistant: Lara DimmickProduction Editor: Stephen C. RobbProduction Coordination: Peggy Hood, TechBooks/GTSDesign Coordinator: Diane Y. ErnsbergerCover Designer: Jason MooreCover Art: Getty OneProduction Manager: Matt OttenwellerMarketing Manager: Ben Leonard

    This book was set in TimesEuropa Roman by TechBooks/GTS York, PA Campus. It wasprinted and bound by Courier Kendallville, Inc. The cover was printed by PhoenixColor Corp.

    MultiSIM is a trademark of Electronics Workbench.

    Altera is a trademark and service mark of Altera Corporation in the United States andother countries. Altera products are the intellectual property of Altera Corporation andare protected by copyright laws and one or more U.S. and foreign patents and patent ap-plications.

    Copyright 2007 by Pearson Education, Inc., Upper Saddle River, New Jersey 07458.Pearson Prentice Hall. All rights reserved. Printed in the United States of America. Thispublication is protected by Copyright and permission should be obtained from the pub-lisher prior to any prohibited reproduction, storage in a retrieval system, or transmissionin any form or by any means, electronic, mechanical, photocopying, recording, or likewise.For information regarding permission(s), write to: Rights and Permissions Department.

    Pearson Prentice Hall is a trademark of Pearson Education, Inc.Pearson is a registered trademark of Pearson plcPrentice Hall is a registered trademark of Pearson Education, Inc.

    Pearson Education Ltd. Pearson Education Australia Pty. LimitedPearson Education Singapore, Pte. Ltd. Pearson Education North Asia Ltd.Pearson Education Canada, Ltd. Pearson Educacin de Mexico, S.A. de C.V.Pearson EducationJapan Pearson Education Malaysia, Pte. Ltd.Pearson Education, Upper Saddle River,

    New Jersey

    10 9 8 7 6 5 4 3 2 1ISBN: 0-13-173969-7

    TOCCMF01_0131739697.QXD 12/23/05 1:45 AM Page iv

  • Digital SystemsPrinciples and Applications

    TOCCMF01_0131725793.QXD 12/22/2005 09:07 PM Page i

  • TOCCMF01_0131725793.QXD 12/22/2005 09:07 PM Page ii

  • Digital SystemsPrinciples and Applications

    Ronald J. TocciMonroe Community College

    Neal S. WidmerPurdue University

    Gregory L. MossPurdue University

    TENTH EDITION

    Upper Saddle River, New JerseyColumbus, Ohio

    TOCCMF01_0131725793.QXD 12/22/2005 09:07 PM Page iii

  • Library of Congress Cataloging-in-Publication Data

    Tocci, Ronald J.Digital systems : principles and applications / Ronald J. Tocci, Neal S.

    Widmer, Gregory L. Moss.10th ed.p. cm.

    Includes bibliographical references and index.ISBN 0-13-172579-3

    1. Digital electronicsTextbooks. I. Widmer, Neal S. II. Moss, Gregory L.III. Title.

    TK7868.D5T62 2007621.381dc22

    2005035835

    Director of Development: Vern AnthonyEditorial Assistant: Lara DimmickProduction Editor: Stephen C. RobbProduction Coordination: Peggy Hood, TechBooks/GTSDesign Coordinator: Diane Y. ErnsbergerCover Designer: Jason MooreCover Art: Getty OneProduction Manager: Matt OttenwellerMarketing Manager: Ben Leonard

    This book was set in TimesEuropa Roman by TechBooks/GTS York, PA Campus. It wasprinted and bound by Courier Kendallville, Inc. The cover was printed by PhoenixColor Corp.

    MultiSIM is a trademark of Electronics Workbench.

    Altera is a trademark and service mark of Altera Corporation in the United States andother countries. Altera products are the intellectual property of Altera Corporation andare protected by copyright laws and one or more U.S. and foreign patents and patent ap-plications.

    Copyright 2007, 2004, 2001, 1998, 1995, 1991, 1988, 1985, 1980, 1970 by PearsonEducation, Inc., Upper Saddle River, New Jersey 07458. Pearson Prentice Hall. All rightsreserved. Printed in the United States of America. This publication is protected byCopyright and permission should be obtained from the publisher prior to any prohibitedreproduction, storage in a retrieval system, or transmission in any form or by any means,electronic, mechanical, photocopying, recording, or likewise. For information regardingpermission(s), write to: Rights and Permissions Department.

    Pearson Prentice Hall is a trademark of Pearson Education, Inc.Pearson is a registered trademark of Pearson plcPrentice Hall is a registered trademark of Pearson Education, Inc.

    Pearson Education Ltd. Pearson Education Australia Pty. LimitedPearson Education Singapore, Pte. Ltd. Pearson Education North Asia Ltd.Pearson Education Canada, Ltd. Pearson Educacin de Mexico, S.A. de C.V.Pearson EducationJapan Pearson Education Malaysia, Pte. Ltd.

    10 9 8 7 6 5 4 3 2 1ISBN: 0-13-172579-3

    TOCCMF01_0131725793.QXD 12/22/2005 09:07 PM Page iv

  • To you, Cap, for loving me for so long; and for the millionand one ways you brighten the lives of everyone you touch.

    RJT

    To my wife, Kris, and our children, John, Brad, Blake,Matt, and Katie: the lenders of their rights to my time andattention that this revision might be accomplished.

    NSW

    To my family, Marita, David, and Ryan.GLM

    TOCCMF01_0131725793.QXD 12/22/2005 09:07 PM Page v

  • TOCCMF01_0131725793.QXD 12/22/2005 09:07 PM Page vi

  • vii

    P R E FAC E

    This book is a comprehensive study of the principles and techniques of mod-ern digital systems. It teaches the fundamental principles of digital systemsand covers thoroughly both traditional and modern methods of applying dig-ital design and development techniques, including how to manage a systems-level project. The book is intended for use in two- and four-year programs intechnology, engineering, and computer science. Although a background inbasic electronics is helpful, most of the material requires no electronicstraining. Portions of the text that use electronics concepts can be skippedwithout adversely affecting the comprehension of the logic principles.

    General ImprovementsThe tenth edition of Digital Systems reflects the authors views of thedirection of modern digital electronics. In industry today, we see the impor-tance of getting a product to market very quickly.The use of modern designtools, CPLDs, and FPGAs allows engineers to progress from concept to func-tional silicon very quickly. Microcontrollers have taken over many applica-tions that once were implemented by digital circuits, and DSP has beenused to replace many analog circuits. It is amazing that microcontrollers,DSP, and all the necessary glue logic can now be consolidated onto a singleFPGA using a hardware description language with advanced developmenttools. Todays students must be exposed to these modern tools, even in anintroductory course. It is every educators responsibility to find the bestway to prepare graduates for the work they will encounter in their profes-sional lives.

    The standard SSI and MSI parts that have served as bricks and mortarin the building of digital systems for nearly 40 years are now nearing obso-lescence. Many of the techniques that have been taught over that time havefocused on optimizing circuits that are built from these outmoded devices.The topics that are uniquely suited to applying the old technology but do notcontribute to an understanding of the new technology must be removed from

    TOCCMF01_0131725793.QXD 12/23/05 3:10 AM Page vii

  • the curriculum. From an educational standpoint, however, these small ICs dooffer a way to study simple digital circuits, and the wiring of circuits usingbreadboards is a valuable pedagogic exercise. They help to solidify conceptssuch as binary inputs and outputs, physical device operation, and practicallimitations, using a very simple platform. Consequently, we have chosen tocontinue to introduce the conceptual descriptions of digital circuits and tooffer examples using conventional standard logic parts. For instructors whocontinue to teach the fundamentals using SSI and MSI circuits, this editionretains those qualities that have made the text so widely accepted in thepast. Many hardware design tools even provide an easy-to-use design entrytechnique that will employ the functionality of conventional standard partswith the flexibility of programmable logic devices. A digital design can bedescribed using a schematic drawing with pre-created building blocks thatare equivalent to conventional standard parts, which can be compiled andthen programmed directly into a target PLD with the added capability ofeasily simulating the design within the same development tool.

    We believe that graduates will actually apply the concepts presented inthis book using higher-level description methods and more complex program-mable devices.The major shift in the field is a greater need to understand thedescription methods, rather than focusing on the architecture of an actual de-vice. Software tools have evolved to the point where there is little need for con-cern about the inner workings of the hardware but much more need to focuson what goes in, what comes out, and how the designer can describe what thedevice is supposed to do. We also believe that graduates will be involved withprojects using state-of-the-art design tools and hardware solutions.

    This book offers a strategic advantage for teaching the vital new topicof hardware description languages to beginners in the digital field.VHDL isundisputedly an industry standard language at this time, but it is also verycomplex and has a steep learning curve. Beginning students are often dis-couraged by the rigorous requirements of various data types, and they strug-gle with understanding edge-triggered events in VHDL. Fortunately, Alteraoffers AHDL, a less demanding language that uses the same basic conceptsas VHDL but is much easier for beginners to master. So, instructors can optto use AHDL to teach introductory students or VHDL for more advancedclasses. This edition offers more than 40 AHDL examples, more than 40VHDL examples, and many examples of simulation testing. All of these designfiles are available on the enclosed CD-ROM.

    Alteras latest software development system is Quartus II. The MAXPLUS II software that has been used for many years is still popular in indus-try and is supported by Altera. Its main drawback is that it does not programthe latest devices. The material in this text does not attempt to teach a par-ticular hardware platform or the details of using a software development sys-tem. New revisions of software tools appear so frequently that a textbookcannot remain current if it tries to describe all of the details. We have triedto show what this tool can do, rather than train the reader how to use it. How-ever, tutorials have been included on the accompanying CD-ROM that makeit easy to learn either software package. The AHDL and VHDL examples arecompatible with either Quartus or MAXPLUS systems. The timing simula-tions were developed using MAXPLUS but can also be done with Quartus.

    Many laboratory hardware options are available to users of this book. Anumber of CPLD and FPGA development boards are available for studentsto use in the laboratory. There are several earlier generation boards similarto Alteras UP2 that contain MAX7000 family CPLDs. A more recent exampleof an available board is the UP3 board from Alteras university program (seeFigure P-l), which contains a larger FPGA from the Cyclone family. An even

    viii PREFACE

    TOCCMF01_0131725793.QXD 12/22/2005 09:07 PM Page viii

  • PREFACE ix

    newer board from Altera is called the DE2 board (see Figure P-2), which hasa powerful new 672-pin Cyclone II FPGA and a number of basic features suchas switches, LEDs, and displays as well as many additional features for moreadvanced projects. More development boards are entering the market everyyear, and many are becoming very affordable. These boards, along with pow-erful educational software, offer an excellent way to teach and demonstratethe practical implementation of the concepts presented in this text.

    The most significant improvements in the tenth edition are found in Chap-ter 7. Although asynchronous (ripple) counters provide a good introduction tosequential circuits, the real world uses synchronous counter circuits. Chapter7 and subsequent examples have been rewritten to emphasize synchronouscounter ICs and include techniques for analysis, cascading, and using HDL todescribe them. A section has also been added to improve the coverage of statemachines and the HDL features used to describe them. Other improvementsinclude analysis techniques for combinational circuits, expanded coverage of555 timer applications, and better coverage of signed binary numbers.

    FIGURE P-1 Alteras UP3development board.

    FIGURE P-2 Alteras DE2development board.

    TOCCMF01_0131725793.QXD 12/22/2005 09:07 PM Page ix

  • x PREFACE

    Our approach to HDL and PLDs gives instructors several options:

    1. The HDL material can be skipped entirely without affecting thecontinuity of the text.

    2. HDL can be taught as a separate topic by skipping the materialinitially and then going back to the last sections of Chapters 3, 4, 5,6, 7, and 9 and then covering Chapter 10.

    3. HDL and the use of PLDs can be covered as the course unfoldschapter by chapterand woven into the fabric of the lecture/labexperience.

    Among all specific hardware description languages, VHDL is clearly theindustry standard and is most likely to be used by graduates in their careers.We have always felt that it is a bold proposition, however, to try to teach VHDLin an introductory course. The nature of the syntax, the subtle distinctions inobject types, and the higher levels of abstraction can pose obstacles for abeginner. For this reason, we have included Alteras AHDL as the recom-mended introductory language for freshman courses. We have also includedVHDL as the recommended language for more advanced classes or introduc-tory courses offered to more mature students.We do not recommend trying tocover both languages in the same course. Sections of the text that cover thespecifics of a language are clearly designated with a color bar in the margin.The HDL code figures are set in a color to match the color-coded text expla-nation.The reader can focus only on the language of his or her choice and skipthe other. Obviously, we have attempted to appeal to the diverse interests ofour market, but we believe we have created a book that can be used in multi-ple courses and will serve as an excellent reference after graduation.

    Chapter OrganizationIt is a rare instructor who uses the chapters of a textbook in the sequence inwhich they are presented. This book was written so that, for the most part,each chapter builds on previous material, but it is possible to alter the chap-ter sequence somewhat. The first part of Chapter 6 (arithmetic operations)can be covered right after Chapter 2 (number systems), although this will leadto a long interval before the arithmetic circuits of Chapter 6 are encountered.Much of the material in Chapter 8 (IC characteristics) can be covered earlier(e.g., after Chapter 4 or 5) without creating any serious problems.

    This book can be used either in a one-term course or in a two-term se-quence. In a one-term course, limits on available class hours might requireomitting some topics. Obviously, the choice of deletions will depend on fac-tors such as program or course objectives and student background. A list ofsections and chapters that can be deleted with minimal disruption follows:

    Chapter 1: All Chapter 2: Section 6 Chapter 3: Sections 1520 Chapter 4: Sections 7, 1013 Chapter 5: Sections 3, 2327 Chapter 6: Sections 57, 11, 13, 1623 Chapter 7: Sections 914, 2124 Chapter 8: Sections 10, 1419

    TOCCMF01_0131725793.QXD 12/22/2005 09:07 PM Page x

  • PREFACE xi

    FIGURE P-3 Letters denotecategories of problems,and asterisks indicate thatcorresponding solutions are provided at the end ofthe text.

    Chapter 9: Sections 5, 9, 1520 Chapter 10: All Chapter 11: Sections 7, 1417 Chapter 12: Sections 1721 Chapter 13: All

    PROBLEM SETS This edition includes six categories of problems: basic(B), challenging (C), troubleshooting (T), new (N), design (D), and HDL (H).Undesignated problems are considered to be of intermediate difficulty, be-tween basic and challenging. Problems for which solutions are printed in theback of the text or on the enclosed CD-ROM are marked with an asterisk (seeFigure P-3).

    PROJECT MANAGEMENT AND SYSTEM-LEVEL DESIGN Several real-world examples are included in Chapter 10 to describe the techniques usedto manage projects. These applications are generally familiar to most stu-dents studying electronics, and the primary example of a digital clock is fa-miliar to everyone. Many texts talk about top-down design, but this textdemonstrates the key features of this approach and how to use the moderntools to accomplish it.

    DATA SHEETS The CD-ROM containing Texas Instruments data sheetsthat accompanied the ninth edition has been removed. The information thatwas included on this CD-ROM is now readily available online.

    SIMULATION FILES This edition also includes simulation files that can beloaded into Electronics Workbench Multisim. The circuit schematics ofmany of the figures throughout the text have been captured as input files forthis popular simulation tool. Each file has some way of demonstrating the oper-ation of the circuit or reinforcing a concept. In many cases, instruments are at-tached to the circuit and input sequences are applied to demonstrate theconcept presented in one of the figures of the text.These circuits can then bemodified as desired to expand on topics or create assignments and tutorials

    TOCCMF01_0131725793.QXD 12/22/2005 09:07 PM Page xi

  • for students. All figures in the text that have a corresponding simulation fileon the CD-ROM are identified by the icon shown in Figure P-4.

    IC TECHNOLOGY This new edition continues the practice begun with thelast three editions of giving more prominence to CMOS as the principal ICtechnology in small- and medium-scale integration applications. This depthof coverage has been accomplished while retaining the substantial coverageof TTL logic.

    Specific ChangesThe major changes in the topical coverage are listed here.

    Chapter 1. Many explanations covering digital/analog issues have beenupdated and improved.

    Chapter 2. The octal number system has been removed and the Graycode has been added. A complete standard ASCII code table has been in-cluded, along with new examples that relate ASCII characters, hex rep-resentation, and computer object code transfer files. New material onframing ASCII characters for asynchronous data transfer has also beenadded.

    Chapter 3. Along with some new practical examples of logic functions,the major improvement in Chapter 3 is a new analysis technique usingtables that evaluate intermediate points in the logic circuit.

    Chapter 4.Very few changes were necessary in Chapter 4. Chapter 5. A new section covers digital pulses and associated definitions

    such as pulse width, period, rise time, and fall time. The terminologyused for latch circuit inputs has been changed from Clear to Reset inorder to be compatible with Altera component descriptions.The definitionof a master/slave flip-flop has been removed as well. The discussion ofSchmitt trigger applications has been improved to emphasize their rolein eliminating the effects of noise. The inner workings of the 555 timerare now explained, and some improved timing circuits are proposed thatmake the device more versatile. The HDL coverage of SR and D latcheshas been rewritten to use a more intuitive behavioral description, andthe coverage of counters has been modified to focus on structural tech-niques to interconnect flip-flop blocks.

    Chapter 6. Signed numbers are covered in more detail in this edition,particularly regarding sign extension in 2s complement numbers andarithmetic overflow. A new calculator hint simplifies negation of binarynumbers represented in hex. A number circle model is used to compare

    xii PREFACE

    FIGURE P-4 The icondenotes a correspondingsimulation file on the CD-ROM.

    TOCCMF01_0131725793.QXD 12/22/2005 09:07 PM Page xii

  • signed and unsigned number formats and help students to visualizeadd/subtract operation using both.

    Chapter 7. This chapter has been heavily revised to emphasize synchro-nous counter circuits. Simple ripple counters are still introduced to pro-vide a basic understanding of the concept of counting and asynchronouscascading. After examining the limitations of ripple counters in Section 2,synchronous counters are introduced in Section 3 and used in all subse-quent examples throughout the text. The IC counters presented are the74160, 161, 162, and 163.These common devices offer an excellent assort-ment of features that teach the difference between synchronous and asyn-chronous control inputs and cascading techniques.The 74190 and 191 areused as an example of a synchronous up/down counter IC, further rein-forcing the techniques required for synchronous cascading. A new sectionis devoted to analysis techniques for synchronous circuits using JK and Dflip-flops. Synchronous design techniques now also include the use of Dflip-flop registers that best represent the way sequential circuits are im-plemented in modern PLD technology. The HDL sections have been im-proved to demonstrate the implementation of synchronous/asynchronousloading, clearing, and cascading. A new emphasis is placed on simulationand testing of HDL modules. State machines are now presented as a topic,the traditional Mealy and Moore models are defined, and a new trafficlight control system is presented as an example. Minor improvements havebeen made in the second half of Chapter 7 also. All of the problems at theend of Chapter 7 have been rewritten to reinforce the concepts.

    Chapter 8. This chapter remains a very technical description of the tech-nology available in standard logic families and digital components. Themixed-voltage interfacing sections have been improved to cover low-voltage technology. The latest Texas Instruments life-cycle curve showsthe history and current position of various logic series between intro-duction and obsolescence. Low-voltage differential signaling (LVDS) isintroduced as well.

    Chapter 9. The many different building blocks of digital systems are stillcovered in this chapter and demonstrated using HDL. Many other HDLtechniques, such as tristate outputs and various HDL control structures,are also introduced. A 74ALS148 is described as another example of anencoder.The examples of systems that use counters have all been updatedto synchronous operation. The serial transmission system using MUX andDEMUX is particularly improved. The technique of using a MUX toimplement SOP expressions has been explained in a more structured wayas an independent study exercise in the end-of-the-chapter problems.

    Chapter 10. Chapter 10, which was new to the ninth edition, has re-mained essentially unchanged.

    Chapter 11.The material on bipolar DACs has been improved, and an ex-ample of using DACs as a digital amplitude control for analog waveformsis presented. The more common A/D converter accuracy specification inthe form of / LSB is explained in this edition.

    Chapter 12. Minor improvements were made to this chapter to consolidateand compress some of the material on older technologies of memory suchas UV EPROM. Flash technology is still introduced using a first-generationexample, but the more recent improvements, as well as some of the appli-cations of flash technology in modern consumer devices, are described.

    Chapter 13. This chapter, which was new to the ninth edition, has beenupdated to introduce the new Cyclone family of PLDs.

    PREFACE xiii

    TOCCMF01_0131725793.QXD 12/23/05 1:51 AM Page xiii

  • Retained FeaturesThis edition retains all of the features that made the previous editions sowidely accepted. It utilizes a block diagram approach to teach the basic logicoperations without confusing the reader with the details of internal operation.All but the most basic electrical characteristics of the logic ICs are withhelduntil the reader has a firm understanding of logic principles. In Chapter 8, thereader is introduced to the internal IC circuitry. At that point, the reader caninterpret a logic blocks input and output characteristics and fit it properlyinto a complete system.

    The treatment of each new topic or device typically follows these steps:the principle of operation is introduced; thoroughly explained examples andapplications are presented, often using actual ICs; short review questions areposed at the end of the section; and finally, in-depth problems are availableat the end of the chapter. These problems, ranging from simple to complex,provide instructors with a wide choice of student assignments. These prob-lems are often intended to reinforce the material without simply repeatingthe principles. They require students to demonstrate comprehension of theprinciples by applying them to different situations. This approach also helpsstudents to develop confidence and expand their knowledge of the material.

    The material on PLDs and HDLs is distributed throughout the text, withexamples that emphasize key features in each application. These topics ap-pear at the end of each chapter, making it easy to relate each topic to the gen-eral discussion earlier in the chapter or to address the general discussionseparately from the PLD/HDL coverage.

    The extensive troubleshooting coverage is spread over Chapters 4 through12 and includes presentation of troubleshooting principles and techniques,case studies, 25 troubleshooting examples, and 60 real troubleshooting prob-lems. When supplemented with hands-on lab exercises, this material can helpfoster the development of good troubleshooting skills.

    The tenth edition offers more than 200 worked-out examples, more than400 review questions, and more than 450 chapter problems/exercises. Someof these problems are applications that show how the logic devices presentedin the chapter are used in a typical microcomputer system. Answers to amajority of the problems immediately follow the Glossary. The Glossary pro-vides concise definitions of all terms in the text that have been highlightedin boldface type.

    An IC index is provided at the back of the book to help readers locate eas-ily material on any IC cited or used in the text. The back endsheets providetables of the most often used Boolean algebra theorems, logic gate summaries,and flip-flop truth tables for quick reference when doing problems or work-ing in the lab.

    SupplementsAn extensive complement of teaching and learning tools has been developedto accompany this textbook. Each component provides a unique function,and each can be used independently or in conjunction with the others.

    CD-ROM A CD-ROM is packaged with each copy of the text. It contains thefollowing material:

    MAXPLUS II Educational Version software from Altera. This is a fullyfunctional, professional-quality, integrated development environment for

    xiv PREFACE

    TOCCMF01_0131725793.QXD 12/23/05 1:51 AM Page xiv

  • digital systems that has been used for many years and is still supportedby Altera. Students can use it to write, compile, and simulate their de-signs at home before going to the lab. They can use the same software toprogram and test an Altera CPLD.

    Quartus II Web Version software from Altera. This is the latest develop-ment system software from Altera, which offers more advanced featuresand supports new PLD devices such as the Cyclone family of FPGAs,found on many of the newest educational boards.

    Tutorials. Gregory Moss has developed tutorials that have been usedsuccessfully for several years to teach introductory students how to useAltera MAXPLUS II software. These tutorials are available in PDFand PPT (Microsoft PowerPoint presentation) formats and have beenadapted to teach Quartus II as well. With the help of these tutorials, any-one can learn to modify and test all the examples presented in this text,as well as develop his or her own designs.

    Design files from the textbook figures. More than 40 design files in eachlanguage are presented in figures throughout the text. Students can loadthese into the Altera software and test them.

    Solutions to selected problems: HDL design files. A few of the end-of-chapter problem solutions are available to students. (All of the HDLsolutions are available to instructors in the Instructors Resource Manual.)Solutions for Chapter 7 problems include some large graphic and HDLfiles that are not published in the back of the book but are available onthe enclosed CD-ROM.

    Circuits from the text rendered in Multisim. Students can open andwork interactively with approximately 100 circuits to increase their un-derstanding of concepts and prepare for laboratory activities. TheMultisim circuit files are provided for use by anyone who has Multisimsoftware. Anyone who does not have Multisim software and wishes topurchase it in order to use the circuit files may do so by ordering it fromwww.prenhall.com/ewb.

    Supplemental material introducing microprocessors and microcon-trollers. For the flexibility to serve the diverse needs of the many differ-ent schools, an introduction to this topic is presented as a convenientbridge between a digital systems course and an introduction to micro-processors/microcontrollers course.

    STUDENT RESOURCES

    Lab Manual: A Design Approach. This lab manual, written by GregoryMoss, contains topical units with lab projects that emphasize simulationand design. It utilizes the Altera MAXPLUS II or Quartus II software inits programmable logic exercises and features both schematic captureand hardware description language techniques. The new edition con-tains many new projects and examples. (ISBN 0-13-188138-8)

    Lab Manual: A Troubleshooting Approach. This manual, written by JimDeLoach and Frank Ambrosio, is presented with an analysis and trou-bleshooting approach and is fully updated for this edition of the text.(ISBN 0-13-188136-1)

    Companion Website (www.prenhall.com/tocci). This site offers students afree online study guide with which they can review the material learnedin the text and check their understanding of key topics.

    PREFACE xv

    TOCCMF01_0131725793.QXD 12/22/2005 09:07 PM Page xv

  • INSTRUCTOR RESOURCES

    Instructors Resource Manual. This manual contains worked-out solutionsfor all end-of-chapter problems in this textbook. (ISBN 0-13-172665-X)

    Lab Solutions Manual. Worked-out lab results for both lab manuals arefeatured in this manual. (ISBN 0-13-172664-1)

    PowerPoint presentations. Figures from the text, in addition to LectureNotes for each chapter, are available on CD-ROM. (ISBN 0-13-172667-6)

    TestGen. A computerized test bank is available on CD-ROM. (ISBN 0-13-172666-8)

    To access supplementary materials online, instructors need to request aninstructor access code. Go to www.prenhall.com, click the Instructor ResourceCenter link, and then click Register Today for an instructor access code.Within48 hours after registering, you will receive a confirming e-mail including aninstructor access code. When you have received your code, go to the site andlog on for full instructions on downloading the materials you wish to use.

    ACKNOWLEDGMENTSWe are grateful to all those who evaluated the ninth edition and providedanswers to an extensive questionnaire: Ali Khabari, Wentworth Institute ofTechnology; Al Knebel, Monroe Community College; Rex Fisher, BrighamYoung University; Alan Niemi, LeTourneau University; and Roger Sash, Uni-versity of Nebraska. Their comments, critiques, and suggestions were givenserious consideration and were invaluable in determining the final form ofthe tenth edition.

    We also are greatly indebted to Professor Frank Ambrosio, Monroe Com-munity College, for his usual high-quality work on the indexes and the Ins-tructors Resource Manual; and Professor Thomas L. Robertson, PurdueUniversity, for providing his magnetic levitation system as an example; andProfessors Russ Aubrey and Gene Harding, Purdue University, for their tech-nical review of topics and many suggestions for improvements. We appreci-ate the cooperation of Mike Phipps and the Altera Corporation for theirsupport in granting permission to use their software package and their fig-ures from technical publications.

    A writing project of this magnitude requires conscientious and profes-sional editorial support, and Prentice Hall came through again in typicalfashion. We thank the staffs at Prentice Hall and TechBooks/GTS for theirhelp to make this publication a success.

    And finally, we want to let our wives and our children know how much weappreciate their support and their understanding.We hope that we can even-tually make up for all the hours we spent away from them while we workedon this revision.

    Ronald J. TocciNeal S. Widmer

    Gregory L. Moss

    xvi PREFACE

    TOCCMF01_0131725793.QXD 12/23/05 1:51 AM Page xvi

  • xvii

    B R I E F C O N T E N T S

    CHAPTER 1 Introductory Concepts 2

    CHAPTER 2 Number Systems and Codes 24

    CHAPTER 3 Describing Logic Circuits 54

    CHAPTER 4 Combinational Logic Circuits 118

    CHAPTER 5 Flip-Flops and Related Devices 208

    CHAPTER 6 Digital Arithmetic: Operations and Circuits 296

    CHAPTER 7 Counters and Registers 360

    CHAPTER 8 Integrated-Circuit Logic Families 488

    CHAPTER 9 MSI Logic Circuits 576

    CHAPTER 10 Digital System Projects Using HDL 676

    CHAPTER 11 Interfacing with the Analog World 718

    CHAPTER 12 Memory Devices 786

    CHAPTER 13 Programmable Logic Device Architectures 868

    Glossary 898

    Answers to Selected Problems 911

    Index of ICs 919

    Index 922

    TOCCMF01_0131725793.QXD 12/23/05 3:11 AM Page xvii

  • TOCCMF01_0131725793.QXD 12/22/2005 09:07 PM Page xviii

  • xix

    C O N T E N T S

    CHAPTER 1 Introductory Concepts 21-1 Numerical Representations 4

    1-2 Digital and Analog Systems 5

    1-3 Digital Number Systems 10

    1-4 Representing Binary Quantities 13

    1-5 Digital Circuits/Logic Circuits 15

    1-6 Parallel and Serial Transmission 17

    1-7 Memory 18

    1-8 Digital Computers 19

    CHAPTER 2 Number Systems and Codes 242-1 Binary-to-Decimal Conversions 26

    2-2 Decimal-to-Binary Conversions 26

    2-3 Hexadecimal Number System 29

    2-4 BCD Code 33

    2-5 The Gray Code 35

    2-6 Putting It All Together 37

    2-7 The Byte, Nibble, and Word 37

    2-8 Alphanumeric Codes 39

    2-9 Parity Method for Error Detection 41

    2-10 Applications 44

    TOCCMF01_0131725793.QXD 12/23/05 3:11 AM Page xix

  • Chapter 3 Describing Logic Circuits 543-1 Boolean Constants and Variables 57

    3-2 Truth Tables 57

    3-3 OR Operation with OR Gates 58

    3-4 AND Operation with AND Gates 62

    3-5 NOT Operation 65

    3-6 Describing Logic Circuits Algebraically 66

    3-7 Evaluating Logic-Circuit Outputs 68

    3-8 Implementing Circuits from Boolean Expressions 71

    3-9 NOR Gates and NAND Gates 73

    3-10 Boolean Theorems 76

    3-11 DeMorgans Theorems 80

    3-12 Universality of NAND Gates and NOR Gates 83

    3-13 Alternate Logic-Gate Representations 86

    3-14 Which Gate Representation to Use 89

    3-15 IEEE/ANSI Standard Logic Symbols 95

    3-16 Summary of Methods to Describe Logic Circuits 96

    3-17 Description Languages Versus Programming Languages 98

    3-18 Implementing Logic Circuits with PLDs 100

    3-19 HDL Format and Syntax 102

    3-20 Intermediate Signals 105

    Chapter 4 Combinational Logic Circuits 1184-1 Sum-of-Products Form 120

    4-2 Simplifying Logic Circuits 121

    4-3 Algebraic Simplification 121

    4-4 Designing Combinational Logic Circuits 127

    4-5 Karnaugh Map Method 133

    4-6 Exclusive-OR and Exclusive-NOR Circuits 144

    4-7 Parity Generator and Checker 149

    4-8 Enable/Disable Circuits 151

    4-9 Basic Characteristics of Digital ICs 153

    4-10 Troubleshooting Digital Systems 160

    4-11 Internal Digital IC Faults 162

    4-12 External Faults 166

    4-13 Troubleshooting Case Study 168

    4-14 Programmable Logic Devices 170

    4-15 Representing Data in HDL 177

    4-16 Truth Tables Using HDL 181

    4-17 Decision Control Structures in HDL 184

    xx CONTENTS

    TOCCMF01_0131725793.QXD 12/22/2005 09:07 PM Page xx

  • Chapter 5 Flip-Flops and Related Devices 2085-1 NAND Gate Latch 211

    5-2 NOR Gate Latch 216

    5-3 Troubleshooting Case Study 219

    5-4 Digital Pulses 220

    5-5 Clock Signals and Clocked Flip-Flops 221

    5-6 Clocked S-R Flip-Flop 224

    5-7 Clocked J-K Flip-Flop 227

    5-8 Clocked D Flip-Flop 230

    5-9 D Latch (Transparent Latch) 232

    5-10 Asynchronous Inputs 233

    5-11 IEEE/ANSI Symbols 236

    5-12 Flip-Flop Timing Considerations 238

    5-13 Potential Timing Problem in FF Circuits 241

    5-14 Flip-Flop Applications 243

    5-15 Flip-Flop Synchronization 243

    5-16 Detecting an Input Sequence 244

    5-17 Data Storage and Transfer 245

    5-18 Serial Data Transfer: Shift Registers 247

    5-19 Frequency Division and Counting 250

    5-20 Microcomputer Application 254

    5-21 Schmitt-Trigger Devices 256

    5-22 One-Shot (Monostable Multivibrator) 256

    5-23 Clock Generator Circuits 260

    5-24 Troubleshooting Flip-Flop Circuits 264

    5-25 Sequential Circuits Using HDL 268

    5-26 Edge-Triggered Devices 272

    5-27 HDL Circuits with Multiple Components 277

    Chapter 6 Digital Arithmetic: Operations and Circuits 296

    6-1 Binary Addition 298

    6-2 Representing Signed Numbers 299

    6-3 Addition in the 2s-Complement System 306

    6-4 Subtraction in the 2s-Complement System 307

    6-5 Multiplication of Binary Numbers 310

    6-6 Binary Division 311

    6-7 BCD Addition 312

    6-8 Hexadecimal Arithmetic 314

    6-9 Arithmetic Circuits 317

    6-10 Parallel Binary Adder 318

    6-11 Design of a Full Adder 320

    CONTENTS xxi

    TOCCMF01_0131725793.QXD 12/22/2005 09:07 PM Page xxi

  • 6-12 Complete Parallel Adder with Registers 323

    6-13 Carry Propagation 325

    6-14 Integrated-Circuit Parallel Adder 326

    6-15 2s-Complement System 328

    6-16 ALU Integrated Circuits 331

    6-17 Troubleshooting Case Study 335

    6-18 Using TTL Library Functions with HDL 337

    6-19 Logical Operations on Bit Arrays 338

    6-20 HDL Adders 340

    6-21 Expanding the Bit Capacity of a Circuit 343

    Chapter 7 Counters and Registers 3607-1 Asynchronous (Ripple) Counters 362

    7-2 Propagation Delay in Ripple Counters 365

    7-3 Synchronous (Parallel) Counters 367

    7-4 Counters with MOD Numbers

  • 8-5 TTL Loading and Fan-Out 509

    8-6 Other TTL Characteristics 514

    8-7 MOS Technology 518

    8-8 Complementary MOS Logic 521

    8-9 CMOS Series Characteristics 523

    8-10 Low-Voltage Technology 530

    8-11 Open-Collector/Open-Drain Outputs 533

    8-12 Tristate (Three-State) Logic Outputs 538

    8-13 High-Speed Bus Interface Logic 541

    8-14 The ECL Digital IC Family 543

    8-15 CMOS Transmission Gate (Bilateral Switch) 546

    8-16 IC Interfacing 548

    8-17 Mixed-Voltage Interfacing 553

    8-18 Analog Voltage Comparators 554

    8-19 Troubleshooting 556

    Chapter 9 MSI Logic Circuits 5769-1 Decoders 577

    9-2 BCD-to-7-Segment Decoder/Drivers 584

    9-3 Liquid-Crystal Displays 587

    9-4 Encoders 591

    9-5 Troubleshooting 597

    9-6 Multiplexers (Data Selectors) 599

    9-7 Multiplexer Applications 604

    9-8 Demultiplexers (Data Distributors) 610

    9-9 More Troubleshooting 617

    9-10 Magnitude Comparator 621

    9-11 Code Converters 624

    9-12 Data Busing 628

    9-13 The 74ALS173/HC173 Tristate Register 629

    9-14 Data Bus Operation 632

    9-15 Decoders Using HDL 638

    9-16 The HDL 7-Segment Decoder/Driver 642

    9-17 Encoders Using HDL 645

    9-18 HDL Multiplexers and Demultiplexers 648

    9-19 HDL Magnitude Comparators 652

    9-20 HDL Code Converters 653

    Chapter 10 Digital System Projects Using HDL 67610-1 Small-Project Management 678

    10-2 Stepper Motor Driver Project 679

    10-3 Keypad Encoder Project 687

    CONTENTS xxiii

    TOCCMF01_0131725793.QXD 12/22/2005 09:07 PM Page xxiii

  • 10-4 Digital Clock Project 693

    10-5 Frequency Counter Project 710

    Chapter 11 Interfacing with the Analog World 71811-1 Review of Digital Versus Analog 719

    11-2 Digital-to-Analog Conversion 721

    11-3 D/A-Converter Circuitry 728

    11-4 DAC Specifications 733

    11-5 An Integrated-Circuit DAC 735

    11-6 DAC Applications 736

    11-7 Troubleshooting DACs 738

    11-8 Analog-to-Digital Conversion 739

    11-9 Digital-Ramp ADC 740

    11-10 Data Acquisition 745

    11-11 Successive-Approximation ADC 749

    11-12 Flash ADCs 755

    11-13 Other A/D Conversion Methods 757

    11-14 Sample-and-Hold Circuits 761

    11-15 Multiplexing 762

    11-16 Digital Storage Oscilloscope 764

    11-17 Digital Signal Processing (DSP) 765

    Chapter 12 Memory Devices 78412-1 Memory Terminology 786

    12-2 General Memory Operation 790

    12-3 CPUMemory Connections 793

    12-4 Read-Only Memories 795

    12-5 ROM Architecture 796

    12-6 ROM Timing 799

    12-7 Types of ROMs 800

    12-8 Flash Memory 808

    12-9 ROM Applications 811

    12-10 Semiconductor RAM 814

    12-11 RAM Architecture 815

    12-12 Static RAM (SRAM) 818

    12-13 Dynamic RAM (DRAM) 823

    12-14 Dynamic RAM Structure and Operation 824

    12-15 DRAM Read/Write Cycles 829

    12-16 DRAM Refreshing 831

    12-17 DRAM Technology 834

    12-18 Expanding Word Size and Capacity 836

    12-19 Special Memory Functions 844

    xxiv CONTENTS

    TOCCMF01_0131725793.QXD 12/22/2005 09:07 PM Page xxiv

  • 12-20 Troubleshooting RAM Systems 847

    12-21 Testing ROM 852

    Chapter 13 Programmable Logic Device Architectures 868

    13-1 Digital Systems Family Tree 870

    13-2 Fundamentals of PLD Circuitry 875

    13-3 PLD Architectures 877

    13-4 The GAL 16V8 (Generic Array Logic) 881

    13-5 The Altera EPM7128S CPLD 885

    13-6 The Altera FLEX10K Family 890

    13-7 The Altera Cyclone Family 894

    Glossary 898

    Answers to Selected Problems 911

    Index of ICs 919

    Index 922

    CONTENTS xxv

    TOCCMF01_0131725793.QXD 12/22/2005 09:07 PM Page xxv

  • TOCCMF01_0131725793.QXD 12/22/2005 09:07 PM Page xxvi

  • Digital SystemsPrinciples and Applications

    TOCCMF01_0131725793.QXD 12/22/2005 09:07 PM Page 1

  • 1-1 Numerical Representations

    1-2 Digital and Analog Systems

    1-3 Digital Number Systems

    1-4 Representing BinaryQuantities

    1-5 Digital Circuits/LogicCircuits

    OUTLINE

    I N T R O D U C TO R YC O N C E P T S

    C H A P T E R 1

    1-6 Parallel and SerialTransmission

    1-7 Memory

    1-8 Digital Computers

    TOCCMC01_0131725793.QXD 11/26/05 12:49 AM Page 2

  • 3 OBJECTIVESUpon completion of this chapter, you will be able to: Distinguish between analog and digital representations. Cite the advantages and drawbacks of digital techniques compared

    with analog. Understand the need for analog-to-digital converters (ADCs) and

    digital-to-analog converters (DACs). Recognize the basic characteristics of the binary number system. Convert a binary number to its decimal equivalent. Count in the binary number system. Identify typical digital signals. Identify a timing diagram. State the differences between parallel and serial transmission. Describe the property of memory. Describe the major parts of a digital computer and understand their

    functions. Distinguish among microcomputers, microprocessors, and

    microcontrollers.

    INTRODUCTIONIn todays world, the term digital has become part of our everyday vocabu-lary because of the dramatic way that digital circuits and digital techniqueshave become so widely used in almost all areas of life: computers, automa-tion, robots, medical science and technology, transportation, telecommuni-cations, entertainment, space exploration, and on and on. You are about tobegin an exciting educational journey in which you will discover the funda-mental principles, concepts, and operations that are common to all digitalsystems, from the simplest on/off switch to the most complex computer. Ifthis book is successful, you should gain a deep understanding of how alldigital systems work, and you should be able to apply this understanding tothe analysis and troubleshooting of any digital system.

    We start by introducing some underlying concepts that are a vital partof digital technology; these concepts will be expanded on as they areneeded later in the book. We also introduce some of the terminology that isnecessary when embarking on a new field of study, and add to this list ofimportant terms in every chapter.

    TOCCMC01_0131725793.QXD 11/26/05 12:49 AM Page 3

  • 1-1 NUMERICAL REPRESENTATIONSIn science, technology, business, and, in fact, most other fields of endeavor,we are constantly dealing with quantities. Quantities are measured, moni-tored, recorded, manipulated arithmetically, observed, or in some other wayutilized in most physical systems. It is important when dealing with variousquantities that we be able to represent their values efficiently and accu-rately. There are basically two ways of representing the numerical value ofquantities: analog and digital.

    Analog RepresentationsIn analog representation a quantity is represented by a continuously vari-able, proportional indicator. An example is an automobile speedometer fromthe classic muscle cars of the 1960s and 1970s. The deflection of the needleis proportional to the speed of the car and follows any changes that occur asthe vehicle speeds up or slows down. On older cars, a flexible mechanicalshaft connected the transmission to the speedometer on the dash board. It isinteresting to note that on newer cars, the analog representation is usuallypreferred even though speed is now measured digitally.

    Thermometers before the digital revolution used analog representation tomeasure temperature, and many are still in use today. Mercury thermometersuse a column of mercury whose height is proportional to temperature. Thesedevices are being phased out of the market because of environmental con-cerns, but nonetheless they are an excellent example of analog representa-tion.Another example is an outdoor thermometer on which the position of thepointer rotates around a dial as a metal coil expands and contracts with tem-perature changes. The position of the pointer is proportional to the tempera-ture. Regardless of how small the change in temperature, there will be aproportional change in the indication.

    In these two examples the physical quantities (speed and temperature) arebeing coupled to an indicator by purely mechanical means. In electrical analogsystems, the physical quantity that is being measured or processed is convertedto a proportional voltage or current (electrical signal). This voltage or currentis then used by the system for display, processing, or control purposes.

    Sound is an example of a physical quantity that can be represented by anelectrical analog signal. A microphone is a device that generates an outputvoltage that is proportional to the amplitude of the sound waves that strikeit.Variations in the sound waves will produce variations in the microphonesoutput voltage.Tape recordings can then store sound waves by using the out-put voltage of the microphone to proportionally change the magnetic field onthe tape.

    Analog quantities such as those cited above have an important charac-teristic, no matter how they are represented: they can vary over a continuousrange of values. The automobile speed can have any value between zero and,say, 100 mph. Similarly, the microphone output might have any value withina range of zero to 10 mV (e.g., 1 mV, 2.3724 mV, 9.9999 mV).

    Digital RepresentationsIn digital representation the quantities are represented not by continuouslyvariable indicators but by symbols called digits. As an example, consider thedigital clock, which provides the time of day in the form of decimal digits thatrepresent hours and minutes (and sometimes seconds). As we know, the timeof day changes continuously, but the digital clock reading does not changecontinuously; rather, it changes in steps of one per minute (or per second). In

    4 CHAPTER 1/INTRODUCTORY CONCEPTS

    TOCCMC01_0131725793.QXD 11/26/05 12:49 AM Page 4

  • other words, this digital representation of the time of day changes in discretesteps, as compared with the representation of time provided by an analog acline-powered wall clock, where the dial reading changes continuously.

    The major difference between analog and digital quantities, then, can besimply stated as follows:

    analog continuousdigital discrete (step by step)

    Because of the discrete nature of digital representations, there is no ambiguitywhen reading the value of a digital quantity, whereas the value of an analogquantity is often open to interpretation. In practice, when we take a measure-ment of an analog quantity, we always round to a convenient level of preci-sion. In other words, we digitize the quantity. The digital representation is theresult of assigning a number of limited precision to a continuously variablequantity. For example, when you take your temperature with a mercury (ana-log) thermometer, the mercury column is usually between two graduation lines,but you would pick the nearest line and assign it a number of, say, 98.6F.

    KK

    SECTION 1-2/DIGITAL AND ANALOG SYSTEMS 5

    REVIEW QUESTION * 1. Concisely describe the major difference between analog and digitalquantities.

    *Answers to review questions are found at the end of the chapter in which they occur.

    1-2 DIGITAL AND ANALOG SYSTEMSA digital system is a combination of devices designed to manipulate logicalinformation or physical quantities that are represented in digital form; thatis, the quantities can take on only discrete values. These devices are most

    EXAMPLE 1-1 Which of the following involve analog quantities and which involve digitalquantities?

    (a) Ten-position switch

    (b) Current flowing from an electrical outlet

    (c) Temperature of a room

    (d) Sand grains on the beach

    (e) Automobile fuel gauge

    Solution

    (a) Digital

    (b) Analog

    (c) Analog

    (d) Digital, since the number of grains can be only certain discrete (integer)values and not every possible value over a continuous range

    (e) Analog, if needle type; digital, if numerical readout or bar graph display

    TOCCMC01_0131725793.QXD 11/26/05 12:49 AM Page 5

  • often electronic, but they can also be mechanical, magnetic, or pneumatic.Some of the more familiar digital systems include digital computers and cal-culators, digital audio and video equipment, and the telephone systemtheworlds largest digital system.

    An analog system contains devices that manipulate physical quantitiesthat are represented in analog form. In an analog system, the quantities canvary over a continuous range of values. For example, the amplitude of theoutput signal to the speaker in a radio receiver can have any value betweenzero and its maximum limit. Other common analog systems are audio ampli-fiers, magnetic tape recording and playback equipment, and a simple lightdimmer switch.

    Advantages of Digital TechniquesAn increasing majority of applications in electronics, as well as in most othertechnologies, use digital techniques to perform operations that were onceperformed using analog methods. The chief reasons for the shift to digitaltechnology are:

    1. Digital systems are generally easier to design. The circuits used in digitalsystems are switching circuits, where exact values of voltage or currentare not important, only the range (HIGH or LOW) in which they fall.

    2. Information storage is easy. This is accomplished by special devices andcircuits that can latch onto digital information and hold it for as long asnecessary, and mass storage techniques that can store billions of bits ofinformation in a relatively small physical space. Analog storage capabil-ities are, by contrast, extremely limited.

    3. Accuracy and precision are easier to maintain throughout the system. Oncea signal is digitized, the information it contains does not deteriorate as itis processed. In analog systems, the voltage and current signals tend tobe distorted by the effects of temperature, humidity, and component tol-erance variations in the circuits that process the signal.

    4. Operation can be programmed. It is fairly easy to design digital systemswhose operation is controlled by a set of stored instructions called aprogram. Analog systems can also be programmed, but the variety andthe complexity of the available operations are severely limited.

    5. Digital circuits are less affected by noise. Spurious fluctuations in voltage(noise) are not as critical in digital systems because the exact value of avoltage is not important, as long as the noise is not large enough to pre-vent us from distinguishing a HIGH from a LOW.

    6. More digital circuitry can be fabricated on IC chips. It is true that analogcircuitry has also benefited from the tremendous development of ICtechnology, but its relative complexity and its use of devices that cannotbe economically integrated (high-value capacitors, precision resistors,inductors, transformers) have prevented analog systems from achievingthe same high degree of integration.

    Limitations of Digital TechniquesThere are really very few drawbacks when using digital techniques. The twobiggest problems are:

    The real world is analog.Processing digitized signals takes time.

    6 CHAPTER 1/INTRODUCTORY CONCEPTS

    TOCCMC01_0131725793.QXD 11/26/05 12:49 AM Page 6

  • Most physical quantities are analog in nature, and these quantities are oftenthe inputs and outputs that are being monitored, operated on, and controlledby a system. Some examples are temperature, pressure, position, velocity, liq-uid level, flow rate, and so on. We are in the habit of expressing these quan-tities digitally, such as when we say that the temperature is ( whenwe want to be more precise), but we are really making a digital approxima-tion to an inherently analog quantity.

    To take advantage of digital techniques when dealing with analog inputsand outputs, four steps must be followed:

    1. Convert the physical variable to an electrical signal (analog).

    2. Convert the electrical (analog) signal into digital form.

    3. Process (operate on) the digital information.

    4. Convert the digital outputs back to real-world analog form.

    An entire book could be written about step 1 alone.There are many kindsof devices that convert various physical variables into electrical analog sig-nals (sensors). These are used to measure things that are found in our realanalog world. On your car alone, there are sensors for fluid level (gas tank),temperature (climate control and engine), velocity (speedometer), accelera-tion (airbag collision detection), pressure (oil, manifold), and flow rate (fuel),to name just a few.

    To illustrate a typical system that uses this approach Figure 1-1 describesa precision temperature regulation system.A user pushes up or down buttonsto set the desired temperature in increments (digital representation). Atemperature sensor in the heated space converts the measured temperatureto a proportional voltage. This analog voltage is converted to a digital quan-tity by an analog-to-digital converter (ADC). This value is then compared tothe desired value and used to determine a digital value of how much heat isneeded. The digital value is converted to an analog quantity (voltage) by adigital-to-analog converter (DAC). This voltage is applied to a heating ele-ment, which will produce heat that is related to the voltage applied and willaffect the temperature of the space.

    0.1

    63.864

    SECTION 1-2/DIGITAL AND ANALOG SYSTEMS 7

    FIGURE 1-1 Block diagram of a precision digital temperature control system.

    Temperature controlled space

    Digital input: Set Desired Temperature

    Digital Processor DigitalAnalog conversion

    AnalogDigital conversion

    Heat

    Sensor

    Analog signal representing actual temperature

    Digital signal representing actual temperature

    Digital signal representing power (voltage) to heater

    +

    Another good example where conversion between analog and digitaltakes place is in the recording of audio. Compact disks (CDs) have replacedcassette tapes because they provide a much better means for recording and

    TOCCMC01_0131725793.QXD 12/19/05 1:44 PM Page 7

  • playing back music. The process works something like this: (1) sounds frominstruments and human voices produce an analog voltage signal in a micro-phone; (2) this analog signal is converted to a digital format using an analog-to-digital conversion process; (3) the digital information is stored on the CDssurface; (4) during playback, the CD player takes the digital informationfrom the CD surface and converts it into an analog signal that is then ampli-fied and fed to a speaker, where it can be picked up by the human ear.

    The second drawback to digital systems is that processing these digitizedsignals (lists of numbers) takes time. And we also need to convert betweenthe analog and digital forms of information, which can add complexity andexpense to a system. The more precise the numbers need to be, the longer ittakes to process them. In many applications, these factors are outweighed bythe numerous advantages of using digital techniques, and so the conversionbetween analog and digital quantities has become quite commonplace in thecurrent technology.

    There are situations, however, where use of analog techniques is simpleror more economical. For example, several years ago, a colleague (TomRobertson) decided to create a control system demonstration for tourgroups. He planned to suspend a metallic object in a magnetic field, as shownin Figure 1-2. An electromagnet was made by winding a coil of wire and con-trolling the amount of current through the coil. The position of the metal ob-ject was measured by passing an infrared light beam across the magneticfield. As the object drew closer to the magnetic coil, it began to block thelight beam. By measuring small changes in the light level, the magnetic fieldcould be controlled to keep the metal object hovering and stationary, with nostrings attached. All attempts at using a microcomputer to measure thesevery small changes, run the control calculations, and drive the magnetproved to be too slow, even when using the fastest, most powerful PC avail-able at the time. His final solution used just a couple of op-amps and a fewdollars worth of other components: a totally analog approach.Today we haveaccess to processors fast enough and measurement techniques preciseenough to accomplish this feat, but the simplest solution is still analog.

    8 CHAPTER 1/INTRODUCTORY CONCEPTS

    It is common to see both digital and analog techniques employed withinthe same system to be able to profit from the advantages of each. In thesehybrid systems, one of the most important parts of the design phase involves

    FIGURE 1-2 A magnetic levitation system suspending: (a) a globe with a steelplate inserted and (b) a hammer.

    (a) (b)

    TOCCMC01_0131725793.QXD 12/16/2005 1:14 PM Page 8

  • determining what parts of the system are to be analog and what parts are tobe digital. The trend in most systems is to digitize the signal as early as pos-sible and convert it back to analog as late as possible as the signals flowthrough the system.

    The Future Is DigitalThe advances in digital technology over the past three decades have beennothing short of phenomenal, and there is every reason to believe that moreis coming.Think of the everyday items that have changed from analog formatto digital in your lifetime. An indoor/outdoor wireless digital thermometercan be purchased for less then $10.00. Cars have gone from having very fewelectronic controls to being predominantly digitally controlled vehicles.Digital audio has moved us to the compact disk and MP3 player. Digitalvideo brought the DVD. Digital home video and still cameras; digital record-ing with systems like TiVo; digital cellular phones; and digital imaging in x-ray, magnetic resonance imaging (MRI), and ultrasound systems in hospitalsare just a few of the applications that have been taken over by the digitalrevolution. As soon as the infrastructure is in place, telephone and televisionsystems will go digital. The growth rate in the digital realm continues to bestaggering. Maybe your automobile is equipped with a system such as GMsOn Star, which turns your dashboard into a hub for wireless communication,information, and navigation. You may already be using voice commands tosend or retrieve e-mail, call for a traffic report, check on the cars mainte-nance needs, or just switch radio stations or CDsall without taking yourhands off the wheel or your eyes off the road. Cars can report their exact lo-cation in case of emergency or mechanical breakdown. In the coming yearswireless communication will continue to expand coverage to provide con-nectivity wherever you are. Telephones will be able to receive, sort, andmaybe respond to incoming calls like a well-trained secretary.The digital tel-evision revolution will provide not only higher definition of the picture, butalso much more flexibility in programming.You will be able to select the pro-grams that you want to view and load them into your televisions memory, al-lowing you to pause or replay scenes at your convenience, very much likeviewing a DVD today. As virtual reality continues to improve, you will beable to interact with the subject matter you are studying.This may not soundexciting when studying electronics, but imagine studying history from thestandpoint of being a participant, or learning proper techniques for every-thing from athletics to surgery through simulations based on your actualperformance.

    Digital technology will continue its high-speed incursion into current ar-eas of our lives as well as break new ground in ways we may never have con-sidered. These applications (and many more) are based on the principlespresented in this text.The software tools to develop complex systems are con-stantly being upgraded and are available to anyone over the Web. We willstudy the technical underpinnings necessary to communicate with any ofthese tools, and prepare you for a fascinating and rewarding career.

    SECTION 1-2/DIGITAL AND ANALOG SYSTEMS 9

    REVIEW QUESTIONS 1. What are the advantages of digital techniques over analog?

    2. What is the chief limitation to the use of digital techniques?

    TOCCMC01_0131725793.QXD 12/16/2005 1:14 PM Page 9

  • 1-3 DIGITAL NUMBER SYSTEMSMany number systems are in use in digital technology.The most common arethe decimal, binary, octal, and hexadecimal systems. The decimal system isclearly the most familiar to us because it is a tool that we use every day.Examining some of its characteristics will help us to understand the othersystems better.

    Decimal SystemThe decimal system is composed of 10 numerals or symbols.These 10 symbolsare 0, 1, 2, 3, 4, 5, 6, 7, 8, 9; using these symbols as digits of a number, we can ex-press any quantity.The decimal system, also called the base-10 system becauseit has 10 digits, has evolved naturally as a result of the fact that people have 10fingers. In fact, the word digit is derived from the Latin word for finger.

    The decimal system is a positional-value system in which the value of adigit depends on its position. For example, consider the decimal number 453.We know that the digit 4 actually represents 4 hundreds, the 5 represents 5tens, and the 3 represents 3 units. In essence, the 4 carries the most weight ofthe three digits; it is referred to as the most significant digit (MSD). The 3 car-ries the least weight and is called the least significant digit (LSD).

    Consider another example, 27.35. This number is actually equal to 2 tensplus 7 units plus 3 tenths plus 5 hundredths, or 2 10 7 1 3 0.1 5 0.01. The decimal point is used to separate the integer and fractionalparts of the number.

    More rigorously, the various positions relative to the decimal point carryweights that can be expressed as powers of 10.This is illustrated in Figure 1-3,where the number 2745.214 is represented. The decimal point separates thepositive powers of 10 from the negative powers. The number 2745.214 is thusequal to

    + (2 * 10-1) + (1 * 10-2) + (4 * 10-3)(2 * 10+3) + (7 * 10+2) + (4 * 101) + (5 * 100)

    10 CHAPTER 1/INTRODUCTORY CONCEPTS

    103 102

    2 7 4 5 . 2 1 4

    101 100 10 310 210 1

    Positional values(weights)

    Decimalpoint

    MSD LSD

    FIGURE 1-3 Decimalposition values as powersof 10.

    In general, any number is simply the sum of the products of each digit valueand its positional value.

    Decimal CountingWhen counting in the decimal system, we start with 0 in the units positionand take each symbol (digit) in progression until we reach 9. Then we add a1 to the next higher position and start over with 0 in the first position (see

    TOCCMC01_0131725793.QXD 11/26/05 12:49 AM Page 10

  • It is important to note that in decimal counting, the units position (LSD)changes upward with each step in the count, the tens position changes up-ward every 10 steps in the count, the hundreds position changes upwardevery 100 steps in the count, and so on.

    Another characteristic of the decimal system is that using only two deci-mal places, we can count through different numbers (0 to 99).* Withthree places we can count through 1000 numbers (0 to 999), and so on. In gen-eral, with N places or digits, we can count through 10N different numbers, start-ing with and including zero. The largest number will always be

    Binary SystemUnfortunately, the decimal number system does not lend itself to convenientimplementation in digital systems. For example, it is very difficult to designelectronic equipment so that it can work with 10 different voltage levels(each one representing one decimal character, 0 through 9). On the otherhand, it is very easy to design simple, accurate electronic circuits that oper-ate with only two voltage levels. For this reason, almost every digital systemuses the binary (base-2) number system as the basic number system of itsoperations. Other number systems are often used to interpret or representbinary quantities for the convenience of the people who work with and usethese digital systems.

    In the binary system there are only two symbols or possible digit values, 0and 1. Even so, this base-2 system can be used to represent any quantity thatcan be represented in decimal or other number systems. In general though, itwill take a greater number of binary digits to express a given quantity.

    All of the statements made earlier concerning the decimal system areequally applicable to the binary system.The binary system is also a positional-value system, wherein each binary digit has its own value or weight expressedas a power of 2. This is illustrated in Figure 1-5. Here, places to the left of the

    10N - 1.

    102 = 100

    SECTION 1-3/DIGITAL NUMBER SYSTEMS 11

    *Zero is counted as a number.

    0123456789

    10111213141516171819

    2021222324252627282930

    99100101102

    103

    199200

    9991000

    FIGURE 1-4 Decimalcounting.

    Figure 1-4). This process continues until the count of 99 is reached. Then weadd a 1 to the third position and start over with 0s in the first two positions.The same pattern is followed continuously as high as we wish to count.

    TOCCMC01_0131725793.QXD 11/26/05 12:49 AM Page 11

  • binary point (counterpart of the decimal point) are positive powers of 2, andplaces to the right are negative powers of 2.The number 1011.101 is shown rep-resented in the figure. To find its equivalent in the decimal system, we simplytake the sum of the products of each digit value (0 or 1) and its positional value:

    Notice in the preceding operation that subscripts (2 and 10) were used to in-dicate the base in which the particular number is expressed.This conventionis used to avoid confusion whenever more than one number system is beingemployed.

    In the binary system, the term binary digit is often abbreviated to theterm bit, which we will use from now on. Thus, in the number expressed inFigure 1-5 there are four bits to the left of the binary point, representing theinteger part of the number, and three bits to the right of the binary point, rep-resenting the fractional part. The most significant bit (MSB) is the leftmostbit (largest weight).The least significant bit (LSB) is the rightmost bit (small-est weight). These are indicated in Figure 1-5. Here, the MSB has a weight of23; the LSB has a weight of

    Binary CountingWhen we deal with binary numbers, we will usually be restricted to a spe-cific number of bits. This restriction is based on the circuitry used to repre-sent these binary numbers. Lets use four-bit binary numbers to illustrate themethod for counting in binary.

    The sequence (shown in Figure 1-6) begins with all bits at 0; this is calledthe zero count. For each successive count, the units (20) position toggles; thatis, it changes from one binary value to the other. Each time the units bitchanges from a 1 to a 0, the twos (21) position will toggle (change states). Eachtime the twos position changes from 1 to 0, the fours (22) position will toggle(change states). Likewise, each time the fours position goes from 1 to 0, theeights (23) position toggles. This same process would be continued for thehigher-order bit positions if the binary number had more than four bits.

    The binary counting sequence has an important characteristic, as shown inFigure 1-6. The units bit (LSB) changes either from 0 to 1 or 1 to 0 with eachcount.The second bit (twos position) stays at 0 for two counts, then at 1 for twocounts, then at 0 for two counts, and so on. The third bit (fours position) staysat 0 for four counts, then at 1 for four counts, and so on. The fourth bit (eightsposition) stays at 0 for eight counts, then at 1 for eight counts. If we wanted to

    2-3.

    = 11.62510 = 8 + 0 + 2 + 1 + 0.5 + 0 + 0.125

    + (1 * 2-1) + (0 * 2-2) + (1 * 2-3) 1011.1012 = (1 * 23) + (0 * 22) + (1 * 21) + (1 * 20)

    12 CHAPTER 1/INTRODUCTORY CONCEPTS

    23 22

    1 0 1 1 1 0 1

    21 20 232221

    Positionalvalues

    Binarypoint

    MSB LSB

    FIGURE 1-5 Binary positionvalues as powers of 2.

    TOCCMC01_0131725793.QXD 11/26/05 12:49 AM Page 12

  • SECTION 1-4/REPRESENTING BINARY QUANTITIES 13

    0123456789

    101112131415

    0000000011111111

    0000111100001111

    0011001100110011

    0101010101010101

    Weights Decimal equivalent23 = 8 22 = 4 21 = 2 20 = 1

    LSB

    FIGURE 1-6 Binarycounting sequence.

    REVIEW QUESTIONS 1. What is the decimal equivalent of 11010112?

    2. What is the next binary number following 101112 in the counting sequence?

    3. What is the largest decimal value that can be represented using 12 bits?

    1-4 REPRESENTING BINARY QUANTITIESIn digital systems, the information being processed is usually present in bi-nary form. Binary quantities can be represented by any device that has onlytwo operating states or possible conditions. For example, a switch has onlytwo states: open or closed. We can arbitrarily let an open switch represent

    EXAMPLE 1-2 What is the largest number that can be represented using eight bits?

    Solution

    This has been a brief introduction of the binary number system and itsrelation to the decimal system. We will spend much more time on these twosystems and several others in the next chapter.

    2N-1 = 28-1 = 25510 = 111111112.

    count further, we would add more places, and this pattern would continue with0s and 1s alternating in groups of For example, using a fifth binary place,the fifth bit would alternate sixteen 0s, then sixteen 1s, and so on.

    As we saw for the decimal system, it is also true for the binary system thatby using N bits or places, we can go through 2N counts. For example, with twobits we can go through counts (002 through 112); with four bits we cango through counts (00002 through 11112); and so on. The last countwill always be all 1s and is equal to in the decimal system. For exam-ple, using four bits, the last count is 11112 = 24-1 = 1510.

    2N-124 = 16

    22 = 4

    2N-1.

    TOCCMC01_0131725793.QXD 11/26/05 12:49 AM Page 13

  • binary 0 and a closed switch represent binary 1. With this assignment we cannow represent any binary number. Figure 1-7(a) shows a binary code numberfor a garage door opener. The small switches are set to form the binary num-ber 1000101010. The door will open only if a matching pattern of bits is setin the receiver and the transmitter.

    14 CHAPTER 1/INTRODUCTORY CONCEPTS

    FIGURE 1-7 (a) Binarycode settings for a garagedoor opener. (b) Digitalaudio on a CD.

    Another example is shown in Figure 1-7(b), where binary numbers arestored on a CD. The inner surface (under a transparent plastic layer) iscoated with a highly reflective aluminum layer. Holes are burned throughthis reflective coating to form pits that do not reflect light the same as theunburned areas. The areas where the pits are burned are considered 1 andthe reflective areas are 0.

    There are numerous other devices that have only two operating states orcan be operated in two extreme conditions. Among these are: light bulb(bright or dark), diode (conducting or nonconducting), electromagnet (ener-gized or deenergized), transistor (cut off or saturated), photocell (illumi-nated or dark), thermostat (open or closed), mechanical clutch (engaged ordisengaged), and spot on a magnetic disk (magnetized or demagnetized).

    In electronic digital systems, binary information is represented by voltages(or currents) that are present at the inputs and outputs of the various circuits.Typically, the binary 0 and 1 are represented by two nominal voltage levels. Forexample, zero volts (0 V) might represent binary 0, and 5 V might representbinary 1. In actuality, because of circuit variations, the 0 and 1 would be rep-resented by voltage ranges. This is illustrated in Figure 1-8(a), where any volt-age between 0 and 0.8 V represents a 0 and any voltage between 2 and 5 Vrepresents a 1. All input and output signals will normally fall within one ofthese ranges, except during transitions from one level to another.

    We can now see another significant difference between digital and ana-log systems. In digital systems, the exact value of a voltage is not important;

    (a)

    (b)

    TOCCMC01_0131725793.QXD 12/22/2005 9:11 AM Page 14

  • SECTION 1-5/DIGITAL CIRCUITS/LOGIC CIRCUITS 15

    Notused

    (a)

    5 V

    2 V

    0.8 V

    0 V

    (b)

    Volts

    4 V

    0 V t

    1

    0

    1

    0

    Binary 1

    Binary 0

    t0 t1 t2 t3 t4 t5

    Invalidvoltages

    FIGURE 1-8 (a) Typical voltage assignments in digital system; (b) typical digitalsignal timing diagram.

    for example, for the voltage assignments of Figure 1-8(a), a voltage of 3.6 Vmeans the same as a voltage of 4.3 V. In analog systems, the exact value of avoltage is important. For instance, if the analog voltage is proportional to thetemperature measured by a transducer, the 3.6 V would represent a differenttemperature than would 4.3 V. In other words, the voltage value carries sig-nificant information. This characteristic means that the design of accurateanalog circuitry is generally more difficult than that of digital circuitry be-cause of the way in which exact voltage values are affected by variations incomponent values, temperature, and noise (random voltage fluctuations).

    Digital Signals and Timing DiagramsFigure 1-8(b) shows a typical digital signal and how it varies over time. It isactually a graph of voltage versus time (t) and is called a timing diagram.Thehorizontal time scale is marked off at regular intervals beginning at t0 andproceeding to t1, t2, and so on. For the example timing diagram shown here,the signal starts at 0 V (a binary 0) at time t0 and remains there until time t1.At t1, the signal makes a rapid transition (jump) up to 4 V (a binary 1). At t2,it jumps back down to 0 V. Similar transitions occur at t3 and t5. Note that thesignal does not change at t4 but stays at 4 V from t3 to t5.

    The transitions on this timing diagram are drawn as vertical lines, and sothey appear to be instantaneous, when in reality they are not. In many situ-ations, however, the transition times are so short compared to the times be-tween transitions that we can show them on the diagram as vertical lines. Wewill encounter situations later where it will be necessary to show the transi-tions more accurately on an expanded time scale.

    Timing diagrams are used extensively to show how digital signals changewith time, and especially to show the relationship between two or more dig-ital signals in the same circuit or system. By displaying one or more digitalsignals on an oscilloscope or logic analyzer, we can compare the signals to theirexpected timing diagrams. This is a very important part of the testing andtroubleshooting procedures used in digital systems.

    1-5 DIGITAL CIRCUITS/LOGIC CIRCUITSDigital circuits are designed to produce output voltages that fall within theprescribed 0 and 1 voltage ranges such as those defined in Figure 1-8.Likewise, digital circuits are designed to respond predictably to input volt-ages that are within the defined 0 and 1 ranges. What this means is that a

    TOCCMC01_0131725793.QXD 11/26/05 12:49 AM Page 15

  • digital circuit will respond in the same way to all input voltages that fallwithin the allowed 0 range; similarly, it will not distinguish between inputvoltages that lie within the allowed 1 range.

    To illustrate, Figure 1-9 represents a typical digital circuit with input viand output vo. The output is shown for two different input signal waveforms.Note that vo is the same for both cases because the two input waveforms,while differing in their exact voltage levels, are at the same binary levels.

    16 CHAPTER 1/INTRODUCTORY CONCEPTS

    Digitalcircuit

    vi

    vo

    0 V

    0.5 V

    4 V

    0 V

    t

    3.7 V

    5 V

    t

    Case I

    Case II

    4 V

    vi vo

    vi

    vo

    0 V

    FIGURE 1-9 A digitalcircuit responds to aninputs binary level (0 or 1)and not to its actualvoltage.

    Logic CircuitsThe manner in which a digital circuit responds to an input is referred to asthe circuits logic. Each type of digital circuit obeys a certain set of logicrules. For this reason, digital circuits are also called logic circuits. We willuse both terms interchangeably throughout the text. In Chapter 3, we willsee more clearly what is meant by a circuits logic.

    We will be studying all the types of logic circuits that are currently usedin digital systems. Initially, our attention will be focused only on the logicaloperation that these circuits performthat is, the relationship between thecircuit inputs and outputs. We will defer any discussion of the internal cir-cuit operation of these logic circuits until after we have developed an un-derstanding of their logical operation.

    Digital Integrated CircuitsAlmost all of the digital circuits used in modern digital systems are inte-grated circuits (ICs). The wide variety of available logic ICs has made it pos-sible to construct complex digital systems that are smaller and more reliablethan their discrete-component counterparts.

    Several integrated-circuit fabrication technologies are used to produce dig-ital ICs, the most common being CMOS, TTL, NMOS, and ECL. Each differs inthe type of circuitry used to provide the desired logic operation. For example,TTL (transistor-transistor logic) uses the bipolar transistor as its main circuit el-ement, while CMOS (complementary metal-oxide-semiconductor) uses the en-hancement-mode MOSFET as its principal circuit element.We will learn aboutthe various IC technologies, their characteristics, and their relative advantagesand disadvantages after we master the basic logic circuit types.

    TOCCMC01_0131725793.QXD 11/26/05 12:49 AM Page 16

  • 1-6 PARALLEL AND SERIAL TRANSMISSIONOne of the most common operations that occur in any digital system is thetransmission of information from one place to another. The information canbe transmitted over a distance as small as a fraction of an inch on the samecircuit board, or over a distance of many miles when an operator at a com-puter terminal is communicating with a computer in another city. The infor-mation that is transmitted is in binary form and is generally represented asvoltages at the outputs of a sending circuit that are connected to the inputsof a receiving circuit. Figure 1-10 illustrates the two basic methods for digi-tal information transmission: parallel and serial.

    SECTION 1-6/PARALLEL AND SERIAL TRANSMISSION 17

    HMSB

    LSB

    01001000

    i01101001

    H 00010010

    i 10010110

    LSB MSB LSB MSB

    FIGURE 1-10 (a) Paralleltransmission uses one con-necting line per bit, and allbits are transmitted simul-taneously; (b) serial trans-mission uses only one sig-nal line, and the individualbits are transmitted serially(one at a time).

    Figure 1-10(a) demonstrates parallel transmission of data from a com-puter to a printer using the parallel printer port (LPT1) of the computer. Inthis scenario, assume we are trying to print the word Hi on the printer.The

    REVIEW QUESTIONS 1. True or false:The exact value of an input voltage is critical for a digital circuit.

    2. Can a digital circuit produce the same output voltage for different inputvoltage values?

    3. A digital circuit is also referred to as a ________ circuit.

    4. A graph that shows how one or more digital signals change with time iscalled a ________.

    (a)

    (b)

    TOCCMC01_0131725793.QXD 11/26/05 12:49 AM Page 17

  • binary code for H is 01001000 and the binary code for i is 01101001. Eachcharacter (the H and the i) are made up of eight bits. Using paralleltransmission, all eight bits are sent simultaneously over eight wires.The His sent first, followed by the i.

    Figure 1-10(b) demonstrates serial transmission such as is employedwhen using a serial COM port on your computer to send data to a modem, orwhen using a USB (Universal Serial Bus) port to send data to a printer. Al-though the details of the data format and speed of transmission are quite dif-ferent between a COM port and a USB port, the actual data are sent in thesame way: one bit at a time over a single wire. The bits are shown in the dia-gram as though they were actually moving down the wire in the order shown.The least significant bit of H is sent first and the most significant bit of iis sent last. Of course, in reality, only one bit can be on the wire at any point intime and time is usually drawn on a graph starting at the left and advancingto the right.This produces a graph of logic bits versus time of the serial trans-mission called a timing diagram. Notice that in this presentation, the leastsignificant bit is shown on the left because it was sent first.

    The principal trade-off between parallel and serial representations is oneof speed versus circuit simplicity. The transmission of binary data from onepart of a digital system to another can be done more quickly using parallelrepresentation because all the bits are transmitted simultaneously, while se-rial representation transmits one bit at a time. On the other hand, parallel re-quires more signal lines connected between the sender and the receiver ofthe binary data than does serial. In other words, parallel is faster, and serialrequires fewer signal lines. This comparison between parallel and serialmethods for representing binary information will be encountered manytimes in discussions throughout the text.

    18 CHAPTER 1/INTRODUCTORY CONCEPTS

    Memorycircuit

    Nonmemorycircuit

    FIGURE 1-11 Comparisonof nonmemory and memoryoperation.

    1-7 MEMORYWhen an input signal is applied to most devices or circuits, the output some-how changes in response to the input, and when the input signal is removed,the output returns to its original state. These circuits do not exhibit the prop-erty of memory because their outputs revert back to normal. In digitalcircuitry certain types of devices and circuits do have memory.When an inputis applied to such a circuit, the output will change its state, but it will remainin the new state even after the input is removed.This property of retaining itsresponse to a momentary input is called memory. Figure 1-11 illustrates non-memory and memory operations.

    REVIEW QUESTION 1. Describe the relative advantages of parallel and serial transmission ofbinary data.

    TOCCMC01_0131725793.QXD 11/26/05 12:49 AM Page 18

  • Memory devices and circuits play an important role in digital systems be-cause they provide a means for storing binary numbers either temporarily orpermanently, with the ability to change the stored information at any time. Aswe shall see, the various memory elements include magnetic and optical typesand those that utilize electronic latching circuits (called latches and flip-flops).

    1-8 DIGITAL COMPUTERSDigital techniques have found their way into innumerable areas of technol-ogy, but the area of automatic digital computers is by far the most notableand most extensive. Although digital computers affect some part of all of ourlives, it is doubtful that many of us know exactly what a computer does. Insimplest terms, a computer is a system of hardware that performs arithmeticoperations, manipulates data (usually in binary form), and makes decisions.

    For the most part, human beings can do whatever computers can do, butcomputers can do it with much greater speed and accuracy, in spite of the factthat computers perform all their calculations and operations one step at atime. For example, a human being can take a list of 10 numbers and find theirsum all in one operation by listing the numbers one over the other and addingthem column by column. A computer, on the other hand, can add numbersonly two at a time, so that adding this same list of numbers will take nine ac-tual addition steps. Of course, the fact that the computer requires only a fewnanoseconds per step makes up for this apparent inefficiency.

    A computer is faster and more accurate than people are, but unlike mostof us, it must be given a complete set of instructions that tell it exactly whatto do at each step of its operation. This set of instructions, called a program,is prepared by one or more persons for each job the computer is to do. Pro-grams are placed in the computers memory unit in binary-coded form, witheach instruction having a unique code.The computer takes these instructioncodes from memory one at a time and performs the operation called for bythe code.

    Major Parts of a ComputerThere are several types of computer systems, but each can be broken downinto the same functional units. Each unit performs specific functions, and allunits function together to carry out the instructions given in the program.Figure 1-12 shows the five major func