Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design,...

168
i Design, Fabrication and Analysis of Photonic Device Nanostructures By Muhammad Rizwan Saleem School of Chemical and Materials Engineering (SCME) National University of Sciences & Technology (NUST) 17 th September, 2013

Transcript of Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design,...

Page 1: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

i

Design, Fabrication and Analysis of

Photonic Device Nanostructures

By

Muhammad Rizwan Saleem

School of Chemical and Materials Engineering (SCME)

National University of Sciences & Technology (NUST)

17th

September, 2013

Page 2: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

ii

Design, Fabrication and Analysis of

Photonic Device Nanostructures

Muhammad Rizwan Saleem 2008-NUST-tfrPhD-MS-E-09

This work is submitted as a PhD thesis in partial fulfillment of the

requirement for the degree of

(PhD in Materials and Surface Engineering)

Supervisor: Prof. Dr. Mohammad Bilal Khan (TI)

Co-supervisor: Prof. Dr. Zaffar Mohammad Khan (SI)

School of Chemical and Materials Engineering (SCME)

National University of Sciences & Technology (NUST), H-12

Islamabad, Pakistan

17th

September, 2013

Page 3: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

iii

Certificate

This is to certify that the research work in this thesis has been carried out by

Mr. Muhammad Rizwan Saleem and completed under our supervision in

the Department of Materials Engineering, School of Chemical and Materials

Engineering, National University of Sciences and Technology, Islamabad,

Pakistan.

Supervisor:

Prof. Dr. Mohammad Bilal Khan (TI)

Principal/Director

Centre for Energy Systems (CES)

National University of Sciences & Technology

(NUST), Islamabad

Co-supervisor:

Prof. Dr. Zaffar Mohammad Khan (SI)

Deputy Director General: Advance Engineering and Research Organization (AERO)

Deptt. of Mechanical Engineering

University of Engineering and Technology, Taxila

Submitted through

Prof. Dr. Mohammad Mujahid

Principal/Dean,

School of Chemical & Materials Engineering (SCME)

National University of Sciences & Technology (NUST), Islamabad

Page 4: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

iv

In the Name of Allah, the Most Beneficent, the Most Merciful

Dedication

I dedicate my PhD thesis work to my beloved father:

(Muhammad Saleem), mother: Zahida Parveen, wife:

Rabia Rizwan, daughters: Maheen Rizwan, Rameen

Rizwan and Malaika Rizwan.

Page 5: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

v

Acknowledgments

I am grateful and offer my most sincere gratitude to my project supervisor, Prof. Dr.

Mohammad Bilal khan and co-supervisor Prof. Dr. Zaffar Mohammad Khan for their

unwavering support, guidance, and extensive discussions throughout this research

endeavor. Special thanks are also extended to Prof. Dr. Amir Azam Khan, Prof. Dr.

Mohammad Mujahid and Prof. Dr. Mohammad Islam for their advices and encouragement

during this work. All of the research work is performed in Finland at the University of

Eastern Finland, Department of Physics and Mathematics under the kind and honorable

supervisions of Prof. Dr. Jari Turunen and Prof. Dr. Pasi Vahimaa, for that the author is

heavily indebted and thankful to them. The author is also thankful to Prof. Dr. Seppo

Honkanen for a great help in Clean room environment to carry out fabrication of optical

sensors over a broad range of materials. I am thankful to all of my colleagues, friends, co-

authors, and co-workers whose efforts have made this research work more valuable as an

International guide for the coming forth researchers and students. I offer my special

thanks to all the faculty and staff of both the National University of Sciences and

Technologies (NUST), School of Chemical and Materials Engineering (SCME) and

University of Eastern Finland, Department of Physics and Mathematics.

Regarding financial fundings author is thankful to Higher Education Commission (HEC),

Pakistan, Academy of Finland, Strategic funding of TAILOR, Tekes, and University of

Eastern Finland, Finland.

Page 6: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

vi

Abstract

This thesis provides an insight to resonant waveguide gratings (RWGs) and

thermo-optic coefficients (TOCs) accompanying various organic and inorganic materials.

The RWGs structures were designed by Fourier Modal Method (FMM) based on the

rigorous diffraction theory and fabricated by Atomic Layer Deposition (ALD), Electron-

Beam Lithography (EBL), Nanoimprinting (NIL), Reactive Ion Etching (RIE), and

characterized by Scanning Electron Microscope (SEM), X-Ray Diffraction (XRD), and

Ellipsometer. Categorizing the materials used for RWGs by employing different

fabrication methods in order to facilitate the simplest, cost-effective approach for large-

scale production of aforementioned devices is accomplished. The first type of gratings was

prepared by a simple replication method i.e., Nanoimprinting, where the master stamp was

manufactured by EBL and its subsequent development processes on a silicon wafer

without contribution of an etching process. The subwavelength grating structures are

directly replicated in polymer materials such as Polycarbonate, Cyclic-olefin-copolymer

and UV-curable material Ormocomp® followed by a smooth and conformal cover layer of

high refractive index and amorphous optical material TiO2 by ALD method. This type of

gratings in polymer materials have been demonstrated to exhibit athermal waveguide

operation first time, yielding a net spectral shift of a fraction of a nm over a wide range of

temperatures (25–85 °C). In addition, such gratings depict excellent polarization-

insensitive properties that can be used in optical communications, bio-sensors and

fluorescence-enhancement applications. These demonstrations showed an excellent

agreement between the theoretical and experimental results. The measurement results are

the first experimental demonstration so far on the realization of polarization-insensitive 1D

GMR gratings under normal incidence. The other type of subwavelength grating structures

are explicitly fabricated and demonstrated for polarization-independent properties

containing TiO2 pillars as a waveguide layer on the fused silica substrate. The degeneracy

of both the TE- and TM-modes for second type of non-polarizing grating was further

investigated by studying an over-etch effect into the fused silica substrate. A relatively

Page 7: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

vii

good agreement between the theoretical and experimental results was found after

fabrication through a number of processes.

The second research activity contributing this study was to compute TOC of organic and

inorganic materials experimentally. The TOC was obtained from the experimental data as

an ellipsometric measurement followed by using Lorentz-Lorenz relation and optical

Models (Cauchy Model) under a least-square approach. The experimentally calculated

TOC was directly applied extensively for the design/simulation of athermal waveguide in

various photonic applications. This research work includes experimental investigation of

TOCs of Ormocomp®, TiO2 thin films of various thicknesses which are explained on the

basis of a porosity model to the near-surface-region. It was investigated that thin TiO2

films possess a larger negative index-gradient while thicker ones showed positive index-

gradient. The change of signs of TOCs was described on the basis of a surface porosity

model. Furthermore, the proposed porosity model was investigated indirectly by deposition

of diffusion barrier layers of ALD-Al2O3 with different thicknesses on the surface of ALD-

TiO2 films. Interesting results were demonstrated to show a decrease in negative TOCs

with the increase in ALD-Al2O3 film thicknesses which are explained based on the

impermeable properties of ALD-Al2O3 for water molecules. This thesis also reports on

TOCs of ALD-Al2O3 films of different thicknesses for the first time.

Page 8: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

viii

Author List of Publications

Peer-reviewed Journals

1. M. R. Saleem, R. Ali, S. Honkanen, and J. Turunen, “Thermal properties of thin

Al2O3 films and their barrier layer effect on thermo-optic properties of TiO2 films

grown by atomic layer deposition,” Thin Solid Films 542, 257-262 (2013).

2. M. R. Saleem, S. Honkanen, and J. Turunen, “Effect of substrate overetching and

heat treatment of titanium oxide waveguide gratings and thin films on their optical

properties,” Appl. Opt. 52 (2013).

3. M. R. Saleem, S. Honkanen, and J. Turunen, “Thermo-optic coefficient of

Ormocomp and comparison of polymer materials in athermal replicated

subwavelength resonant waveguide gratings,” Opt. Commun. 288, 56-65 (2013).

4. T. Kaplas, L. Karvonen, J. Rönn, M. R. Saleem, S. Kujala, S. Honkanen, and Y.

Svirko, “Nonlinear refraction in semitransparent pyrolytic carbon films,” Opt.

Mater. Express, 2, 1822- 1827 (2012).

5. M. R. Saleem, D. Zheng, B. Bai, P. Stenberg, M. Kuittinen, S. Honkanen and J.

Turunen, “Replicable one-dimensional non-polarizing guided mode resonance

gratings under normal incidence,” Opt. Express 20, 16974-16980 (2012).

6. M. R. Saleem, P. Silfsten, S. Honkanen, and J. Turunen, “Thermal properties of

TiO2 films grown by atomic layer deposition,” Thin Solid Films 520, 5442-5446

(2012).

7. M. Erdmanis, L. Karvonen, M. R. Saleem, M. Ruoho, V. Pale, A. Tervonen, S.

Honkanen, and I. Tittonen, “ALD-assisted multiorder dispersion engineering of

nanophotonic strip waveguides,” IEEE; J. Lightwave Technol., 30, 2488-2493

(2012).

8. M. R. Saleem, P. A. Stenberg, M. B. Khan, Z. M. Khan, S. Honkanen, and J.

Turunen, “Hydrogen silsesquioxane resist stamp for replication of nanophotonic

components in polymers,” J. Micro/Nanolith. MEMS MOEMS 11, 013007 (2012).

9. M. R. Saleem, P. Stenberg, T. Alasaarela, P. Silfsten, M. B. Khan, S. Honkanen,

and J. Turunen, “Towards ahtermal organic-inorganic guided mode resonance

filters,” Opt. Express 19, 24241-24251 (2011).

Page 9: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

ix

10. M. Islam and M. R. Saleem, “Comparison-property correlation in B2O3-SiO2

preform rods produced using modified chemical vapor deposition technique,” J.

Mater. Eng. and performance 21, 202-207 (2011).

International peer-reviewed Conference Proceedings / Seminars /

Presentations / Abstracts

11. M. R. Saleem, S. Honkanen, and J. Turunen, “Mode-splitting of a non-polarizing

guided mode resonance filter on substrate overetching effect,” Submitted to SPIE

Photonics-West-2014, San Francisco, USA.

12. M. R. Saleem, A. Nisar, Z. M. Khan, M. B. Khan, S. Honkanen, and J. Turunen,

“Effect of waveguide thickness layer on spectral resonance of a Guided Mode

Resonance Filter,” Submitted to IBCAST-2013, Islamabad, Pakistan.

13. M. R. Saleem, A. Nisar, Z. M. Khan, M. B. Khan, S. Honkanen, and J. Turunen,

“Thermal properties of TiO2 films fabricated by atomic layer deposition,”

International Symposium on Advanced Materials ISAM-2013, September 23–

27, 2013.

14. M. R. Saleem, B, Bai, S. Honkanen, and J. Turunen, “1D Non-polarizing resonant

waveguide gratings,” 38th

International Nathiagali Summer College on Physics

and Contemporary Needs, June 24–July 6, 2013, Pakistan.

15. M. R. Saleem, R. Ali, S. Honkanen, and J. Turunen, “Experimental determination

of thermo-optic properties of thin optical films fabricated by atomic layer

deposition,” Optics days; Helsinki, Finland (20–21 May, 2013).

16. M. R. Saleem, S. Honkanen, and J. Turunen, “Non-polarizing single layer

inorganic and double layer organic-inorganic one–dimensional guided mode

resonance filters,” Proc. of the SPIE (2013).

17. M. R. Saleem, S. Honkanen, and J. Turunen, “Partially athermalized waveguide

gratings,” Proc . of SPIE, 8428 842817-1 (2012).

18. M. R. Saleem, S. Honkanen, and J. Turunen, “Temperature independent guided

mode resonance filters,” International Conference on Nanoscience + Technology;

Paris, France, PO3. 10, 23-27 July (2012).

19. M. R. Saleem, M. B. Khan, S. Honkanen, and J. Turunen, “Nearly temperature

independent waveguide gratings,” 8th EOS Topical meeting on diffractive optics;

Delft, Netherlands, ISBN 9783-00-033711-6 (2012).

Page 10: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

x

20. M. R. Saleem, P. A. Stenberg, M. B. Khan, Z. M. Khan, S. Honkanen, and J.

Turunen, “HSQ resist for replication stamp in polymers,” Proc. Of SPIE 8249

82490G-1 (2012).

21. P. Stenberg, M. R. Saleem, M. Kuittinen, S. Honkanen, and J. Turunen, “High

accuracy nano scale fabrication techniques in sub-micron patterned polymer

gratings,” Physics days 2012, Joensuu; the 46th annual meeting of the Finnish

Physical Society, 13-15 March 2012.

22. P. Stenberg, M. R. Saleem, and M. Kuitten, “hybrid hot embossing stamp for

replication of polycarbonate,” Optics days; Oulu, Finland (2011).

23. M. R. Saleem, M. B. Khan, P. A. Stenberg, T. Alasaarela, S. Honkanen, B. Bai, J.

Turunen, and P. Vahimaa, “Thermal behavior of waveguide gratings,” Proc. Of

SPIE 8069 80690A-1 (2011).

Page 11: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

xi

Contents

Abstract vi

List of Publications viii

List of Figures xv

List of Tables xxiii

Abbreviation xxiv

Chapter 1 Introduction 1

1.1. Background 1

1.2. Importance 8

1.3. Motivation 8

1.4. Main goals 9

1.5. Methods 10

1.6. Outline 11

Chapter 2 Fundamentals of Electromagnetic and Guided mode

Resonance filters theory 12

2.1. Fourier decomposition and the complex representation of

electromagnetic field quantities 12

2.2. Maxwell’s Equations: A microscopic view 14

2.3. Constitutive relations 14

2.4. Boundary Conditions 17

2.5. Wave propagation in homogeneous and isotropic medium 18

2.5. Evanescent waves 18

2.6. Fourier Modal Method (FMM) 20

2.6.1. Principle of FMM 21

2.6.2. Rayleigh expansion and modal field representation

inside1D grating 22

2.6.3. Fourier expansion of permittivity distribution

and eigenvalue equations for transverse electric (TE)

and transverse magnetic (TM) modes 23

2.6.4. Convergence of numerical solutions 26

Page 12: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

xii

2.6.5. FMM for multistep profiles 26

2.7. Guided mode resonance filter (GMRF) 26

2.7.1. Structure of a GMRF 28

2.7.2. Principle of operation 29

Chapter 3 Experimental techniques for structure

fabrication, replication and characterization 32

3.1 Electron beam lithography 32

3.1.1. The proximity effect 35

3.2. Electron beam resists 35

3.2.1. PMMA resist 36

3.2.2. ZEP resist 37

3.2.3. HSQ resist 37

3.3. Reactive ion etching techniques (Dry etching) 39

3.3.1. Reactive ion etching of TiO2 material 40

3.4. Micro hot embossing and nanoimprinting 43

3.5. Thin film deposition techniques 46

3.5.1. Physical vapor deposition (PVD) 46

3.5.1.1. Evaporation 46

3.5.1.2. Sputtering 47

3.5.2. Chemical vapor deposition (CVD) 48

3.5.2.1. Atomic layer deposition (ALD) 48

3.6. Spectroscopic Ellipsometry 51

Chapter 4 Theoretical results and Discussion:

Design of Resonant waveguide grating

structures and thin dielectric films 55

4.1. Structure and design of resonant waveguide gratings RWGs 55

4.1.1. Simulation and modeling of athermal behavior 58

4.1.2. Design of athermal behavior of RWGs using

different polymer substrate materials 61

4.1.2.1. Polycarbonate grating (g-I) 61

Page 13: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

xiii

4.1.2.2. Cyclic olefin copolymer grating (g-II) 62

4.1.2.3. UV-curable material Ormocomp® grating (g-III) 63

4.2. Structure and design of polarization independent resonant

waveguide gratings 65

4.3. Effect of substrate overetching and heat treatment on

non-polarizing properties of TiO2 RWGs and thin films on their

optical properties 70

4.3.1. Design parameters of TiO2 RWGs on SiO2 substrate 72

4.3.2. Substrate overetching effect on splitting of

TE- and TE- modes 72

4.3.3. Refractive index modeling of amorphous and

crystalline TiO2 films 74

4.4. Thermo-optic coefficient (TOC) of organic and inorganic optical

materials 75

4.4.1. Analysis and computation of thermo-optic

coefficient of Ormocomp® 77

4.4.1.1. Method 1 77

4.4.1.2. Method 2 78

4.4.1.3. Method 3 79

4.4.2. Analysis and computation of thermal properties of

TiO2 films grown by ALD 80

4.4.2.1. Determination of refractive index 82

4.4.2.2. Determination of film density 85

4.4.3. Modeling of thermal properties of

ALD-Al2O3 films 87

4.4.3.1. Temperature dependent refractive index 87

4.4.3.2. Temperature dependent density 89

4.4.4. Thermo-optic coefficient of TiO2 thin films in

presence of thin diffusion barrier layers of ALD-Al2O3 91

Chapter 5 Experimental results and discussion 94

5.1. Fabrication of an etchless master stamp 95

5.1.1. Fabrication and replication process 95

5.1.2. Effect of development and etching time 97

Page 14: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

xiv

5.1.3. Optical spectra of grating structures 99

5.2. Athermal measurement of organic-inorganic RWGs 101

5.3. Effect of different polymer substrates on resonance

properties of RWGs 105

5.4. Fabrication and demonstration of one-dimensional

polarization-insensitive RWGs 111

5.4.1. Fabrication of polarization-independent

RWGs of type-I and TiO2 planar thin films 111

5.4.2 Fabrication of polarization-independent

RWGs of type-II 112

5.4.3. Spectral resonance characteristics of

the fabricated non-polarizing RWGs 114

5.5. An over-etching effect in fused silica substrate on

non-polarizing properties of RWGs 116

5.5.1. Structural and optical characterization of

SiO2-TiO2 (type-I) RWGs and TiO2 thin films 116

5.5.2. TiO2 thin films on fused silica substrate 117

5.5.3. TiO2 RWGs on fused silica substrate 119

5.6. Thermo-optic coefficient (TOC) of thin film optical

materials (TiO2 and Al2O3) fabricated by ALD 123

5.6.1. Experimental results of thermo-optic coefficient

of TiO2 thin films 124

5.6.2. Experimental investigation of thermo-optic

coefficient of TiO2 thin films in presence of thin

diffusion barrier layers of ALD-Al2O3 126

5.7. Interpretation 129

Chapter 6 Conclusions 130

References 137

Page 15: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

xv

List of Figures

Figure 2.1: Schematic representation of a plane wave propagating

at the interface. 20

Figure 2.2: Schematic of the diffraction grating with various propagating

fields in reflection and transmission orders. 21

Figure 2.3: Schematic of guided mode resonance filter’s structure

with forward and backward diffraction orders. 29

Figure 2.4: Schematic of GMRF with high index TiO2 cover layer

by ALD technique. 30

Figure 3.1: A schematic of a typical e-beam lithographic system with

optical elements (magnetic lenses). 34

Figure 3.2: SEM pictures of top view of grating structures of master

stamps of HSQ resist on Si substrate. 37

Figure 3.3: SEM pictures of front cross-sectional view of binary

grating structures of master stamp using HSQ resist on Si

substrate after heat treatment process. 38

Figure 3.4: Schematics of reactive ion etching (RIE) of TiO2 material. 41

Figure 3.5: SEM picture of a subwavelength TiO2 grating structure

on fused silica substrate. 43

Figure 3.6: SEM pictures of replicated subwavelength grating

structures in (a,b) Polycarbonate (c) Cyclic-olefin-copolymer

(d) UV-curable polymer Ormocomp®. 45

Figure 3.7: SEM pictures of TiO2 thin films on replicated structures

(a) Polycarbonate with t = 80 nm (b) Polycarbonate with t = 60 nm

(c) Cyclic-olefin-copolymer with t = 50 nm (d) UV-curable material

Ormocomp with t = 50 nm. 50

Figure 3.8: Polarization ellipse representing the polarization of an

electric field vector in terms of ellipsometric parameters ψ and phase

shift Δ. 52

Figure 3.9: Schematic illustration of an ellipsometric system configuration

in terms of its optical components. 53

Page 16: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

xvi

Figure 4.1: Schematics of an ideal replicated grating profile coated

by a high index amorphous thin TiO2 film by ALD process and placed

on a heat reservoir for thermal measurement. 56

Figure 4.2: Fourier Modal analysis of the effects of parameter

variations in specular reflectance R. (a) Variations of ridge height h

and TiO2 film thickness t. (b) Variations of wavelength λ and

angle of incidence θi. (c) Variations of ridge height h and fill

factor f. (d) Variations of refractive indices ns and nc of the

polycarbonate substrate and TiO2 cover layer, respectively. 57

Figure 4.3: (a) Spectral variations of the specular reflectance R(λ)

with room temperature values of all design parameters. (b) Calculated

spectral reflectance curves at T = 100 °C due to thermal expansion alone

(curve 1-TEC), due to thermo-optic effect alone (curve 2-TOC), and

due to combination of both effects (curve 3-TEC-TOC). 58

Figure 4.4: (a) Simulated room-temperature spectral variation of the

reflectance as a function of TiO2 layer thickness. (b) Spectral lineshapes

of RWGs with TiO2 layer thickness t = 61 nm (blue curve 1) and

t = 71 nm (red curve 2). 58

Figure 4.5: Effect of temperature (T ) change in the spectral shift Δλr of the

resonance peak. (a) Individual TEC and TOC effects of TiO2 and PC.

(b) Combined TEC and TOC effects of TiO2 and PC. 60

Figure 4.6: (a) Specular reflectance R(λ) at room temperature designed

parameter values. (b) Specular reflectance curves calculated at 100 °C

accounting for TEC alone, TOC alone and combined TEC and TOC.

(c) Thermal resonance shift in λr when individual effects of TEC

and TOC of polymer and TiO2 are considered. (d) Thermal shift

in λr when the combined effects of TEC and TOC are considered.

The polymer considered here is Polycarbonate (PC). 61

Figure 4.7: (a) Specular reflectance R(λ) at room temperature designed

parameter values. (b) Specular reflectance curves calculated at 100 °C

accounting for TEC alone, TOC alone and combined TEC and TOC.

(c) Thermal resonance shift in λr when individual effects of TEC and TOC

of polymer and TiO2 are considered. (d) Thermal shift in λr when the

combined effects of TEC and TOC are considered. The polymer

considered here is Cyclic-olefin-Copolymer (COC). 63

Figure 4.8: (a) Specular reflectance R(λ) at room temperature designed

Page 17: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

xvii

parameter values. (b) Specular reflectance curves calculated at 100 °C

accounting for TEC alone, TOC alone and combined TEC and TOC.

(c) Thermal resonance shift in λr when individual effects of TEC and

TOC of polymer and TiO2 are considered. (d) Thermal shift in λr

when the combined effects of TEC and TOC are considered. The

polymer considered here is UV-curable OrmoComp®. 64

Figure 4.9: Schematic of the (a) type-I, single layer and (b,c) Type-II,

Double layer 1D non-polarizing RWGs. 65

Figure 4.10: Spectral reflectance at the resonance wavelength λr at

designed linewidth w and structure height h of grating-I (a) TE-Mode

(b) TM-Mode. 67

Figure 4.11: Maximum spectral reflectance at the resonance wavelength

λr = 850 nm (a) Linewidth w and structure height h are evaluated at the

point of intersection of both TE- and TM-Modes (b) TE- and

TM-reflectance spectra at predicted values of w and h. 67

Figure 4.12: Spectral reflectance at the resonance wavelength λr at

designed linewidth w = 200 nm and structure height h = 145 nm of

grating-II for (a) TE-Mode (b) TM-Mode. 68

Figure 4.13: Maximum spectral reflectance at the resonance wavelength

λr = 850 nm for TE- and TM-modes at predicted values of w and h. The

full width at half maximum (FWHM) values for TE = 19.2 nm and

TM = 6.1 nm. 69

Figure 4.14: Maximum spectral reflectance in terms of incident angle

θi and wavelength λ for both (a) TE- and (b) TM-Modes. 69

Figure 4.15: Schematic illustration of a TiO2 RWG. (a) Etched to the

surface of substrate SiO2 and (b) Overetched into substrate SiO2. 70

Figure 4.16: Simulation results of specular reflectance showing

the variation in the resonance wavelength λr with the increase in

overetch depth hs in the fused silica substrate. (a) TE-Mode with

amorphous TiO2 (b) TM-Mode with amorphous TiO2 (c) Both

the TE- and TM-Modes and their effect on the nonpolarizing

property of amorphous TiO2 waveguide gratings and (d) both

the TE- and TM-Modes and their effect on the nonpolarizing

property of crystalline (anatase) TiO2 gratings. 71

Figure 4.17: Simulation results of specular reflectance in terms of

ridge height hc and linewidth w of nonpolarizing RWGs with period

Page 18: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

xviii

d = 540 nm, linewidth w = 401.5 nm and the structure height

hc = ~199 nm, showing the propagation mode splitting with an

overetch depth hs = 50 nm into fused silica substrate.(a) TE-Mode

and (b) TM-Mode. 73

Figure 4.18: Measured and fitted ellipsometric data of amorphous and

crystalline (anatase) TiO2 films of thicknesses ~200 nm. (a) ψ, (b) Δ of

amorphous films, (c) ψ, and (d) Δ of crystalline films. 74

Figure 4.19: Simulated spectral shifts in the central resonance wavelength

λr of specular reflectance at normal incidence with a change in refractive

index of TiO2 material, before and after a phase change of TiO2. The RWG

parameters are defined by a period d = 540 nm, a linewidth w = 401.5 nm,

and a structure height hc = ~199 nm. (a) TE-Mode and (b) TM-Mode. 75

Figure 4.20: Linear fit approximation between thermo-optic and volume

thermal expansion coefficients of different polymers in glassy state. 78

Figure 4.21: Measured refractive index of Ormocomp®. (a) Room

temperature measurement and fitted data of n(λ). (b) Temperature

dependent measurement and fitted data of n(T) at a wavelength

of 630 nm. 79

Figure 4.22: Optical design structure of a thin TiO2 film grown by ALD

on a silicon substrate and the geometry of the illumination in

ellipsometric measurements. 81

Figure 4.23: Ellipsometric data of ALD TiO2 films: measured spectral

dependence of the ellipsometric parameters (a) ψ and (b) Δ together with

Cauchy model fits for a film of thickness t = 60 nm. 82

Figure 4.24: Ellipsometric refractive index data n(λ,T) of TiO2 film of

thickness t = 60 nm at various temperatures. 83

Figure 4.25: Experimental and fitted data on TiO2 films of thickness

t = 60 nm. (a) Index variation n(λ,T) and (b) density variation ρ(λ,T) at

λ = 640 nm. 84

Figure 4.26: Experimental data of ALD-Al2O3 films: spectral dependence

of the measured ellipsometric data at T = 20 °C in terms of parameters

(a) ψ and (b) Δ, together with Cauchy model fits for a film of thickness

ta ~ 60 nm. 87

Figure 4.27: Experimental and fitted data of ALD-Al2O3 films: (a) Index

variation n(λ,T) (b) density variation ρ(λ,T) of films of thickness ta ~60 nm

Page 19: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

xix

at λ = 640 nm. 88

Figure 4.28: (a) Porosity model on the near surface region of a TiO2 film.

(b) Model for a TiO2 film coated by an Al2O3 barrier layer. 92

Figure 5.1: Process flow for the fabrication and replication of a binary

grating in polycarbonate from HSQ stamp on Si substrate. 96

Figure 5.2: RWG structures with different development times of the

HSQ-resist on silicon substrate after e-beam exposure. (a) ~53 nm

resist-free region. (b) ~68 nm resist-free region. 98

Figure 5.3: Etching profiles in Si with HBr-O2 with different etching

times. (a) After etching 180 s with a depth of ~324 nm. (b) After etching

130 s with a depth of ~244 nm. (c) Top and slightly tilted view with an

etching time of 180 s. 99

Figure 5.4: Calculated spectral reflectance (R) at three incident angles: (a)

d = 425 nm. (b) d = 368 nm. Experimentally measured spectral reflectance

(R) at three incident angles: (c) d = 425 nm. (d) d = 368 nm. Here Y

is the peak reflectance and X is the corresponding wavelength. 100

Figure 5.5: (a) Simulated spectral reflectance variation as a function of

TiO2 film thickness t. (b) Calculated and measured spectral reflectance

R of replicated gratings with TiO2 layer thickness t = 60 nm (blue curves)

and t = 75 nm (brown curves) for the TE-mode at θ = 20° and

d = 425 nm. 101

Figure 5.6: Spectral measurement of RWGs with TiO2 thickness t = 61 nm.

(a) Spectral reflectance curves at temperatures T = 30 °C, 35 °C and 55 °C.

(b) Room-temperature spectral lineshape subjected to thermal measurements

up to T = 85 °C. 103

Figure 5.7: Thermal measurements of RWGs with TiO2 layer thickness

t = 61 nm. (a) Peak thermal spectral shift. (b) Peak resonance

reflectance. 103

Figure 5.8: Spectral measurements of RWGs with TiO2 layer thickness

t = 71 nm. (a) Room-temperature peak resonance lineshape. (b) Peak

thermal spectral shift. (c) Peak resonance reflectance. 104

Figure 5.9: SEM pictures. (a) An HSQ stamp with d = 325 nm, h = 120 nm,

w = 205 nm. (b) A replicated structure in PC with d = 368 nm, h = 120 nm,

w = 232 nm. (c) A replicated structure in COC with d = 325 nm, h = 120 nm,

Page 20: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

xx

w = 205 nm. (d) A replicated structure in Ormocomp® with d = 325 nm,

h = 120 nm, w = 205 nm. 106

Figure 5.10: SEM pictures of replicated structures coated by TiO2 cover

Layer of thickness t = 50 nm. (a) Polycarbonate (PC). (b) Cyclic-olefin-

Copolymer (COC). (c) Ormocomp®. 107

Figure 5.11: Measured reflectance spectra of RWGs with various

polymer substrates. (a) Polycarbonate (PC). (b) Cyclic-olefin-Copolymer

(COC). (c) Ormocomp®. 108

Figure 5.12: Experimental measurements of g-I (PC). (a) Measured

Thermal spectral shift as a function of temperature. (b) Measured

spectral reflectance R(λ,T). 109

Figure 5.13: Experimental measurements of g-II (COC). (a) Measured

thermal spectral shift as a function of temperature. (b)Measured

spectral reflectance R(λ,T). 110

Figure 5.14: Experimental measurements of g-III (Ormocomp®).

(a) Measured thermal spectral shift as a function of temperature.

(b) Measured spectral reflectance R(λ,T). 110

Figure 5.15: SEM images of the fabricated TiO2-SiO2 RWGs of Type-I. 113

Figure 5.16: SEM images of the finally fabricated PC-TiO2 non-polarizing

RWGs of Type-II. 113

Figure 5.17: Experimentally measured transmittance spectra: (a) Type-I

and (b) Type-II RWGs. 114

Figure 5.18: XRD patterns of the TiO2 thin films of thicknesses ~200 nm

deposited on fused silica by ALD. (a) As-deposited amorphous phase

and (b) heat-treated crystalline phase (anatase). 116

Figure 5.19: Refractive index of the TiO2 thin films of thicknesses

~200 nm deposited on fused silica by ALD using precursors TiCl4

and H2O with nitrogen as a carrier gas at a deposition temperature

of 120 °C and a growth rate of 0.065 nm per cycle.(a) As-deposited

TiO2 amorphous phase. (b) Heat-treated TiO2 crystalline phase (anatase)

at 300 °C for 7 h. 117

Figure 5.20: SEM pictures of TiO2 films on fused silica substrate.

(a) As-deposited amorphous film. (b) Heat-treated crystalline TiO2 film. 118

Page 21: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

xxi

Figure 5.21: Measured transmittance at a wavelength range 380–1800 nm

of TiO2 films deposited on a fused silica substrate by ALD method.

(a) As-deposited TiO2 amorphous phase. (b) Heat-treated TiO2

crystalline phase. 119

Figure 5.22: Scanning electron microscope images of TiO2 RWGs

etched to different depths. (a), (b) Etched to the surface of the

substrate (fused silica). (c) Over-etched 39 nm in the substrate.

(d) Over-etched 73 nm in the substrate. (e) Over-etched 97 nm

in the substrate and (f) over-etched 128 nm in the substrate. 120

Figure 5.23: Measured transmittance, at normal incidence of the

fabricated TiO2 RWGs with a slightly slanted profile with a period

d = 544 nm, a linewidth w = 407 nm and a structure height

hc = 201 nm. (a) TiO2 amorphous phase. (b) Heat-treated TiO2 phase. 121

Figure 5.24: Measured resonance wavelength λr at normal incidence,

with the overetching depth hs in the fused silica substrate of fabricated

RWGs with a period d = 544 nm, a linewidth w = 407 nm and a

structure height hc = 201 nm. (a) Both the TE- and TM-Modes and

their effect on the non-polarizing property of amorphous TiO2gratings.

(b) Both the TE- and TM-Modes and their effect on the non-polarizing

property of the heat-treated TiO2 gratings. 122

Figure 5.25: Scanning electron microscope image of a cleaved

amorphous film of thickness ~200 nm grown by ALD on a Si substrate. 125

Figure 5.26: Thermal and spectral dependence of the material properties

of TiO2 films of variable thicknesses: wavelength (λ) dependence

of the (a) thermo-optic coefficient dn/dT. (b) Density coefficient dρ/dT. 125

Figure 5.27: Variation of the thermal properties of TiO2 films with

thickness t. 126

Figure 5.28: Thermal and spectral dependence of the thermo-optic

coefficient dn/dT of TiO2 films of various thicknesses in presence of

a thin Al2O3 barrier layer of thickness ta ≈ 6 nm. (a) Wavelength (λ)

dependence of the thermo-optic coefficient dn/dT. (b) Variation

of the thermo-optic coefficient with thicknesses tt of TiO2 films at

a wavelength of 640 nm. 127

Figure 5.29: Thermal and spectral dependence of the thermo-optic

coefficient dn/dT of TiO2 films of a fixed thickness tt ≈ 60 nm in

the presence of Al2O3 barrier layers of various thicknesses:

Page 22: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

xxii

(a) Wavelength (λ) dependence of the thermo-optic coefficient dn/dT.

(b) Variation of the thermo-optic coefficient with thickness ta of

Al2O3 barrier layers at a wavelength of 640 nm. 129

Page 23: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

xxiii

List of Tables

Table 4.1: Thermo-optic and volumetric thermal expansion coefficients

of polymers in a glassy state, dn/dT and γ, respectively. 76

Table 4.2: Measured temperature-dependent refractive index n and

calculated density ρ of an ALD-grown TiO2 film of thickness t = 60 nm

at λ = 640 nm. 86

Table 4.3: Measured and calculated temperature dependent refractive

index (dn/dT × 10-5

) and density (dρ/dT × 10-4

) of ALD-Al2O3 films of

different thicknesses ta = 60–500 nm at T = 20 °C and T = 100 °C for a

wavelength λ = 640 nm. 90

Page 24: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

xxiv

Abbreviations

ALD Atomic Layer Deposition

COC Cyclic-Olefin-Copolymer

CVD Chemical Vapor Deposition

GMRFs Guided Mode Resonance Filters

NIL Nanoimprint Lithography

PC Polycarbonate

PVD Physical Vapor Deposition

RIE Reactive Ion Etching

RWGs Resonant Waveguide Gratings

SEM Scanning Electron Microscopy

TE Transverse Electric

TEC Thermal Expansion Coefficient

TM Transverse Magnetic

TOC Thermo-optic coefficient

XRD X-Ray Diffraction

PMMA Poly(methylmethacrylate)

HSQ Hydrogen silsesquioxane

RF Radio frequency

Page 25: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

1

Chapter 1: Introduction

1.1 BACKGROUND

In the history, thoughts about the nature of light have been changing with time,

for example, Isaac Newton presented a corpuscular theory of light in his book

Opticks in 1704 in which he stated that light is composed of small particles

propagated in straight lines in some special medium [1]. However, Newton’s

Corpuscular theory of light could not explain the properties of light thoroughly;

for example, it became evident through experiments of Thomas Young that light

possesses wave nature [2]. All of these experimentations led to the conclusion

that some phenomena of light and matter interaction can be explained by wave

nature of light and some by the particle nature of light [3]. This is so called

wave-particle duality. The co-existence of both of the theories was found

necessary to explain all the phenomena of the light. Currently these theories are

used as the fundamental tools to model all the problems relating to the light-

matter interaction.

In 1880’s James Clerk Maxwell [4] unified all of the theories explaining wave

nature of light to the theory of Electromagnetism. Due to electromagnetic nature,

light propagates in straight lines and interacts with the electrically charged

particles of that material medium. The outcome of this interaction in terms of the

external electromagnetic field is described by the optical constants of the

material medium such as refractive index, extinction coefficient, permittivity,

permeability and absorption. Despite their names, optical constants are not real

constants but depend on the frequency of the light, called the dispersion of light.

The dispersion phenomena can be modeled by both the corpuscular [5] and wave

[6] theories successfully and can show mathematical representations for the

frequency dependent optical constant.

The optical constants are used to manifest the interaction of light with the

homogeneous materials while the same interaction with the discontinuous

boundaries (structures) is calculated using rather complicated algorithms based

Page 26: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

2

on set of electromagnetic equations. Such interaction give rise to wave

phenomena called diffraction which is the disturbance of light from its rectilinear

propagation at the interfaces of a particular material structure. Diffractive optics

is a phenomena of classical diffraction based on wave nature of light. Diffractive

optical elements (DOEs) are permittivity-modulated microstructures considered

as microrelief profiles

[7,8]. Such profiles may be globally periodic, i.e.,

diffraction gratings or only locally periodic. Thus, diffractive optics has emerged

in micro-optical technology benefited by the wave optical engineering over

geometrical optics that enables the harvesting of light into applications such as

waveguides, holograms, CD-players, high resolution microscopy, diffractive

lenses and optical sensors [7,8].

Such a global or local period d of a DOE can vary from subwavelength to tens of

a wavelength scale. The value of d has a significant effect in the physics and

operation of the device, as well as on the selection of a mathematical method for

its analysis [6,7]. If the size (period d) of a structure is smaller than the

wavelength of light λ, it cannot be resolved by the light, appears as a composite

structure with effective refractive index and regarded as a slab of homogeneous

material, generally an anisotropic medium with an effective permittivity tensor

that depends on the structure. On the other hand if the size (period d) of a

structure is comparable or greater than the wavelength of light, one observes

diffraction phenomena with a number of propagating diffraction orders

depending on the value of d ≥ λ and material may not be regarded as a

homogenous.

The observed number of propagating diffraction orders reduces with the value of

d, but depends also on the angle of incidence and the refractive indices of the

surrounding media. At a particular value of d, there exist only few propagating

orders and the structure (grating) is said to operate in the resonance domain [6].

With a further decrease of d, there exist only zero reflected/transmitted

diffraction order and the structure (grating) acts as a stratified medium composed

of a stack of thin films [9]. In between these two extremes, there is a region

where the size of the structure is smaller than wavelength of light in such a way

Page 27: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

3

that no diffraction occurs but the material may not be considered as a

homogenous, this region is called a subwavelength region.

Thin film optical filters employing diffraction theory that are formulated by

Fresnel depend on the amplitude and phase of the light reflected and transmitted

from a material boundary [10]. For example, antireflection coatings are based on

the principle to cancel the reflected light from upper and lower surfaces of thin

films provided the refractive index of the film is kept in between the indices of

incident media (air) and the substrate [11]. Similarly, a stacked structure

composed of an alternate high-low index with a film thickness quarter of a

wavelength that reflects light waves from successive boundaries in the same

phase and recombined by constructive interference, finally gives a strong

reflected signal [12]. Such filters are called reflective filters over a desired

wavelength range that depends on the ratio of high-low indices [13]. Similarly,

thin film optical filters can also be used as short-wave-pass, long-wave-pass, and

stop-band filters and have been applied in a number of different applications

[14].

An analogous narrow-band reflectance characteristic can also be achieved by

subwavelength periodic waveguide gratings based on resonance anomalies

[15,16] with a number of demanding feature characteristics over conventional

multilayered homogeneous thin film filters such as high efficiency, narrow

linewidth and low side-bands [17]. The subwavelength resonant waveguide

gratings in typical dielectric materials give rise to the use of term Guided-Mode

Resonance Filters (GMRFs) [18]. The original demonstration of the working

principle of a GMRF is based on the excitation of leaky waveguide modes [19–

23] and the coupling of these leaky modes to the waveguide modes in narrow-

band and selective reflection filters [24–27] whereas multi-layer thin film filters

work on multi-wave interference along the direction of propagation.

The origin of GMRF came into existence around 1900 when Wood observed

abrupt variations in the intensity of reflectivity of metallic gratings for TM

polarized light with respect to wavelength or angle of incidence of incident light,

these variations were called anomalies [28]. In 1907, Rayleigh presented a

Page 28: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

4

detailed theoretical explanation of these anomalies due to appearance of a

particular spectral order at grazing incidence. According to Rayleigh, these

anomalies occurred at a particular wavelength, called Rayleigh wavelength and

correspond closely to Wood anomalies. Therefore, Rayleigh anomalies were

called classical Wood's anomaly [15]. The other type of anomalies is resonance

anomalies that occurred due to excitation of a leaky waveguide mode in periodic

waveguide structures [15].

The spectral variations in diffraction efficiencies of diffraction gratings due to

these anomalies were used in filtering applications [29]. The generation of leaky

modes due to corrugated structure couple with the waveguide modes [22] in

presence of some coupling loss [30]. Typically the resonance effect is

polarization dependent and show reflection peaks at different locations for two

polarizations with the same physical parameters. Such polarization dependent

properties are employed in several applications such as narrow-band filters,

polarization selectors, electro-optic switching, laser cavity-mode selectors,

biosensor devices, tunable filters [19,29,31–33]. While polarization insensitive

diffraction gratings are highly desirable for biochemical sensors [34] e.g., to

enhance fluorescence [35] with the advantage of non-polarized light at normal

and oblique incidence and for optical communications because of the unknown

polarization state of the light emerging from optical fibers in dense-wavelength-

division-multiplexing systems.

Guided Mode Resonance Filters (GMRFs) can be employed as the polarization

insensitive gratings which can couple both TE- and TM-modes with either s- or

p-polarized input optical fields by choosing appropriate grating parameters,

regardless of the input polarization state

[36–41]. Subwavelength, one-

dimensional, high diffraction efficiency, polarization-independent GMRFs at

normal incidence are highly desirable [42]. To realize non-polarizing GMR

filtering effect, several initially proposed designs were either based on 1D GMR

gratings under conical incidence [39,40] or 2D periodic gratings to control both

polarizations [43,44]. In all these previous designs, the structures have certain

Page 29: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

5

complexity in either their geometries or incident mountings, imposing additional

difficulty on fabrication and application.

We recently proposed a simple design accompanying single layer 1D GMR

gratings with non-polarizing properties under normal incidence [36]. Initial

prototype fabrication has been performed on a sinusoidal-profile polarization

insensitive 1D GMR grating using photoinduced polymer deformation and

atomic layer deposition (ALD), which presented the potential of cost-effective

fabrication of these devices [36]. In order to enhance the interaction between a

waveguide mode and the grating, the corrugated profile very often is coated by a

high index cover layer [45].

An extensive interest has been directed to thin TiO2 films due to their potential

use and attractive physical, chemical, opto-electronics and optical properties

[46]. A number of deposition techniques are used for TiO2 films such as

chemical vapor deposition (CVD), electron beam evaporation, pulsed bias arc

ion plating, ion-beam assisted deposition, magnetron sputtering [47–53] and

atomic layer deposition (ALD) [54].

Atomic layer deposition is a process by which self limiting, smooth, conformal

coatings can be grown with accurately uniform film thickness on corrugated

surfaces without nanostructure deposition effects such as: line of sight [55].

Generally, deposition proceeds by alternate pulses of precursor gases/vapors

with the help of inert gas flow, followed by purging with inert gas in cycles.

During one cycle, the precursor materials are pulsed in reactor alternatively

which chemisorbs on substrate by surface saturative reactions and grow a

monomolecular layer [56]. In TiO2 waveguides highly uniform films are

required to support coupling effect whereas guided mode phenomena reduces its

coupling power during propagation along the grating due to change of out of

plane coupling effects, mainly caused by thickness changes. The nature of

guided mode, in general, becomes a leaky mode with a corresponding complex

propagation constant [41].

The efficiency of the resonance filter is highly dependent on the optical losses of

the covering material layer and the resulting scattering at the interface [57]. It

Page 30: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

6

has been investigated that the coverlayer deposited TiO2 material must be of low

absorbance and surface roughness to reduce scattering effects and enhance

coupling efficiency of leaky excited modes [58]. Furthermore, ALD films are

distinguished to possess distinctive characteristics among other deposition

techniques based on their high optical quality at low growth temperature [59] on

thermoplastic substrates and have been employed in applications such as nano-

optical devices and sensors. Such properties are illustrated in detail in our recent

publications [60–64].

The manufacturing of diffractive optical components is well established at

prototype stage in various applications [8]. In this regard, high precision

manufacturing methods such as lithographic processes are not cost-effective and

could not meet the production requirements [65–67]. Therefore, a large-scale

fabrication method, such as physical copying of microstructures, is a prerequisite

to make and introduce the replication technology at commercial level. The chief

technologies used for micro-optical component’s replication are injection

molding, high pressure stamping by a heating tool. As the requirements for

modern applications are generated to manufacture even more challenging

structures in thermoplastics, keeping in view more stringent resolution

requirements, the conventional manufacturing processes becomes inadequate

[68,69].

Transparent optical polymer materials are potential candidate for nano-optical

devices in thermoplastics since the development of replicable surface relief

microstructures [70,71] around 1990’s and applied directly to GMRFs [72–76].

The replication technological processes such as injection molding, micro-

injection molding and hot embossing have substantially improved the replication

fidelity [77–80]. There remain some technological challenges, for example, how

deep the microstructure can reliably fill and can be possibly separated from the

master stamp or mould without any structure deformation. Thermoplastic

replication technology accompanies heating and cooling cycles over a wide

temperature range, subsequently by a high pressure stamping and finally a de-

molding step. Similarly, such high precision replication techniques by either

Page 31: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

7

photo- or heat-curable polymers have been emerged competitive and mature

fabrication technologies. The replication master stamp or mold is filled by a

liquid polymer precursor material and then polymerized by a chemical reaction

that can be initiated by a uv-curing at room temperature or simply heating

[81,82]. This results in a much faster filling process with high replication

fidelity; however, such processes also possess some technical challenges for a

perfect replication. The filling problems in a master stamp occurred due to

trapped residual air in the microstructure mold being employed and the sticking

of the replication material with the master mold due to strong adhesion.

The aim of this study is to design, fabricate and optically characterize resonant

waveguide structures first in thermoplastics, subsequently coated by high index,

amorphous TiO2 cover layer by ALD to give strong coupling effects and other

simple replication processes without recourse dry etching [60,61]. Omitting the

etching process not only brings down the fabrication costs but also limits the

depth inaccuracies in the fabricated profile. The simple etch-free process come

up with fast prototyping of polymeric nano-photonic efficient devices, short

fabrication time, high pattern imprint fidelity, uniform surface-pattern-density,

high quality optical performance, and wide applicability to large scale

production. Such cost-effective fabricated filters are shown to use in

applications, for example, athermal GMRF, polarization-independent devices

and biosensors. Furthermore, athermal properties have been evaluated after

considering various polymer materials that is: thermoplastics, thermosetting and

uv-curable as substrates.

The resonant waveguide structures are also designed, fabricated and

characterized structurally and optically in inorganic materials i.e., in fused silica

substrates, coated with TiO2 layers by employing ALD technique. The final

structures are generated with the aid of conventional reactive ion etching RIE

techniques for both TiO2 and SiO2 etching. A complete analysis of the effect of

substrate over-etching (SiO2) on the performance of polarization independent

resonant waveguide gratings is presented both theoretically and experimentally.

A research work related to the measurement and calculation of thermo-optic

Page 32: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

8

coefficient dn/dT and thermal density dρ/dT of thin TiO2 films fabricated by

ALD has been presented with and without thin barrier layers of Al2O3 by ALD

method.

1.2 IMPORTANCE

GMRFs show good filtering performance over a selectable parameter ranges and

has been used in many applications, however, some potential applications require

the use of highly transparent thermoplastic structures for nano-device operation,

while exploiting their properties such as high thermal expansion coefficients,

mechanical toughness (flexibility/ductility). In this research work low-cost

GMRFs are shown to behave actively to get high efficiency sensors covering

athermal operation and non-polarizing effects.

The waveguide is manufactured by coating polymer structures with a high index

and uniform cover layer of TiO2 material that provides strong coupling effects of

leaky excited modes to the waveguide modes. Various polymeric materials are

employed for athermal GMRFs to exploit their best optical properties, taking into

account mechanical properties also. The non-polarizing properties have been

investigated in both inorganic and organic materials used as GMRFs.

Furthermore, the splitting of both TE- and TM-modes is studied after considering

the effect of substrate overetch at various depths both theoretically and

experimentally in SiO2 substrate. The thermo-optic coefficients dn/dT of various

thickness TiO2 films on silicon substrates with and without thin Al2O3 barrier

layers, manufactured by ALD are also studied in detail for their use in sensor

applications.

1.3 MOTIVATION

High accuracy replicated nano-structures with high replication fidelity by nano-

imprint lithography proves a very competitive replication process for the

fabrication of GMRFs. The applied replication process approximately retains the

original profile of structures within the high resolution limit. The fabricated

structures were tested in severe environment and found operational after

successive experiments, which presents both the most economical way of

Page 33: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

9

fabrication and demonstrates optical behavior well with known characteristics.

The performance of the fabricated grating structures were tested over a wide

range of temperature and shown to exhibit the original spectral characteristics.

Design and fabrication of resonant waveguide gratings using various polymeric

materials to be applied for athermal filtering devices over a wide temperature

range has been demonstrated both theoretically and experimentally.

Furthermore, the analysis related to the thermo-optic coefficients of organic

(Ormocomp) and inorganic (TiO2) materials that were used in athermal analysis

of the gratings. The evolution of most-economic fabrication methods (etchless

process), superior optical properties (high diffraction efficiency, narrow-band

filtering effect, and non-polarizing effect), a replacement of conventional

multilayer structures, and environment-free operation, provided us the

motivation of this study.

1.4 MAIN GOALS

The fabrication of athermal GMRFs in thermoplastics retaining the stable

position of resonance peak under subjected high temperature environment are

demonstrated for both theoretically and experimentally. Different polymeric

materials i.e., thermoplastic and uv-curable are employed for the nano structure

replication, subsequently coated by a high index TiO2 thin cover layer by ALD.

The spectral positions of the resonance peaks of GMRFs in different polymeric

materials are described in terms of inherent stresses of the materials. The

GMRFs are most often polarization-dependent while the origination of two

resonances TE and TM at the same spectral position by setting the same angle of

incidence, wavelength, and all geometrical parameters i.e., with the use of either

s- or p-polarized light that couple both the TE- and TM-resonances due to same

propagation constant are demonstrated. Such non-polarizing properties are used

in applications: biochemical sensors for fluorescence enhancement and optical

communication systems.

All of the fabrication is achieved by considering low-cost fabrication methods,

without the etching processes in the stamp fabrication using negative e-beam

resist hydrogen silsesquioxane. The application of high index and amorphous

Page 34: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

10

thin TiO2 films, fabricated by ALD process as a waveguide on the top of

replicated gratings are much more demanding due to uniform thickness for

extremely thin films, uniform groove coverage and strong coupling effects.

Design, fabrication and characterization of non-polarizing properties are also

demonstrated in TiO2 gratings on fused silica substrate with a result in a perfect

coupling of both TE- and TM-modes at resonance. Furthermore, an overetch

depth in fused silica substrate was demonstrated to investigate the effect of

decoupling of both of the TE- and TM-modes theoretically and experimentally

with a more significant effect for TE-mode.

TiO2 films of different thicknesses 60–500 nm are coated on single crystal Si

wafers to determine their thermo-optic coefficient. It was evaluated that thermo-

optic coefficient of thin films takes negative values while thicker films possess

positive values. The negative values of thermo-optic coefficient were further

investigated by coating TiO2 films with a thin diffusion barrier layer of Al2O3 at

different thicknesses by ALD process.

1.5 METHODS

All of the subwavelength resonant waveguide grating structures presented in this

thesis are designed, simulated and calculated rigorously using home-built codes

of Fourier Modal Method FMM. A number of equipment and techniques are

used for the fabrication of aforementioned grating structures. Spin coater is used

to coat thin layer of resist before e-beam exposure. Electron Beam Lithography

EBL is used for direct writing of the patterns of the considered structures

generated in Autocad, subsequently developed by both manually and through an

auto process. The thickness of the coated resist and structure depth were

measured by Stylo surface profilometer. Material etching to create

nanostructures was performed by Plasmalab 100 and 80 for Cr and TiO2

etchings, respectively. The replication of fabricated nanostructures from master

stamp to various polymers was achieved with Hot-embossing technique and

finally Atomic Layer Deposition (ALD) is used to coat a uniform cover layer of

high index and thin TiO2 film on replicated corrugated surface in polymers and

on planar silicon and fused silica substrates.

Page 35: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

11

Scanning electron microscopy (SEM) was used for the structural characterization

of grating structures on silicon, fused silica, and polymer substrates as well as

thin TiO2 films on planar silicon and fused silica substrates. Ellipsometer was

used to characterize all of the reported grating structures optically and to

measure the refractive indices of optical materials (OrmoComp, TiO2 thin films,

Al2O3 thin films, and a stack layer TiO2-Al2O3) are presented in this research

work.

1.6 OUTLINE

This thesis is composed of five further chapters which are outlined shortly from

this research work: Chapter 2 describes the computational methods (FMM) used

to design the grating structures and the theory of GMRFs. Chapter 3 explains the

working principles of the chief technological methods used for this work.

Chapter 4 illustrates the design of GMRFs for various different applications with

the numerical simulations and computations. In addition, a complete analysis to

compute thermo-optic coefficients of Ormocomp, TiO2 films and Al2O3 films of

different thicknesses has been reported. Chapter 5 shows all the experimental

results obtained after this research work and finally conclusion and future plans

are presented in chapter 6.

Page 36: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

12

Chapter 2: Fundamentals of

Electromagnetic and Guided Mode

Resonance Filters Theory

The main subject of this thesis is to study the interaction of light with the

subwavelength grating structures (micro- and nanostructured photonic systems). In

this chapter basic principles of electromagnetic theory of light, background of

electromagnetism, and free space propagation of electromagnetic fields are

presented. The construction, working principle and basic theory of aforementioned

nanostructures have also been described.

2.1 FOURIER DECOMPOSITION AND THE COMPLEX REPRESENTATION

OF ELECTROMAGNETIC FIELD QUANTITIES

Real functions of position and time are the measurable field quantities in optics

which are often described by complex mathematics. To explain these quantities, it is

more convenient to use complex representation of electromagnetic fields by

considering a monochromatic stationary time harmonic field of the form

},)({),( ti

re et rUrU (2.1)

Where U(r) represents the complex amplitude of the real valued-function Ure(r,t)

which can be replaced by any of the electromagnetic quantities E(r), H(r), D(r),

B(r), and J(r) are the electric field, magnetic field, electric displacement, magnetic

induction, and current density, respectively. The field represented by Eq. (2.1) has a

limited approach to describe the rigorous behavior of a polychromatic light which

can be avoided by defining a complex counterpart of the real field. For this reason,

any real physical field quantity is supposed to be square integrable with respect to

time, i.e.,

,),(2

dttre rU (2.2)

Ure(r,t) may be represented as a Fourier integral [83]

Page 37: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

13

,),(~

),( det ti

rere rUrU (2.3)

where

,),(2

1),(

~dtet ti

rere

rUrU (2.4)

where ),(~

rU re represents spectral amplitude of the real field in space-frequency

domain. Fourier transform pair Eqs. (2.3) and (2.4) shows that any vector field

Ure(r,t) in space-time domain may be represented as a superposition of time

harmonic fields with spectral complex amplitudes ),(~

rU re . Since Ure(r,t) is a real-

valued field with complex amplitude ),(~

rU re that satisfy the following relation

),,(~

),(~ * rUrU rere (2.5)

where * represents complex conjugate. The above relation clearly describes that

negative frequency component (ω < 0) do not contain any information, therefore,

we may define a new spectral function in space-frequency domain

0if),,(~

2

0if,0),(

~

rUrU

re

(2.6)

By using Eq. (2.6) a complex-valued vector field in space-time domain may be

expressed as

0

,),(~

),( det tirUrU (2.7)

Equation (2.7) describes that the positive part of the spectrum is different from that

of original real-valued vector field by a constant factor. Using this property the

complex-valued vector field in space-time domain may be connected to its Fourier

spectrum in space-frequency domain by the relation

dtet ti

),(

2

1),(

~rUrU (2.8)

Equation (2.8) may be applied to physically observable phenomena that have

significance in optics. Similar analysis can be performed to represent scalar field

quantity e.g., electric charge density ρ(r).

Page 38: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

14

2.2 MAXWELL’S EQUATIONS: A MICROSCOPIC VIEW

Electromagnetic field quantities introduced in section 2.1 are connected together by

the fundamental laws of electrodynamics are called Maxwell’s equations which

were first introduced by J. C. Maxwell [84]. The complex-valued space-time

domain fields are expressed by four partial differential equations that hold in any

continuous media as well as in vacuum.

),,(),( tt

t rBrE

(2.9a)

),,(),(),( tt

tt rrJrH D

(2.9b)

),,(),( tt rρrD (2.9c)

,0),( trB (2.9d)

Let us assume time-harmonic field quantities in the form of Eq. (2.1), the above

mentioned Maxwell’s equations may be expressed in space-frequency domain as

),,(),( rBrE i (2.10a)

),,(),(),( rDrJrH i (2.10b)

),,(),( rrD (2.10c)

,0),( rB (2.10d)

2.3 CONSTITUTIVE RELATIONS

The Maxwell’s equations described in space-time and space-frequency domains

contain more unknown field quantities than the number of equations. This needs to

introduce some additional relations between the field quantities. A relation between

electric field E(r,t) and electric displacement D(r,t) is defined by introducing a

space-time domain quantity called electric polarization P(r,t).

),,(),(),( 0 ttt rPrErD (2.11)

where ε0 is the electric permittivity of vacuum. Similarly, by introducing the

magnetization M(r,t), a relation between magnetic field H(r,t) and magnetic

induction B(r,t) in space-time domain is given as

Page 39: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

15

),,(),(1

),(0

ttt rMrBrH

(2.12)

where μ0 is the magnetic permeability of vacuum. We consider non-magnetic and

linear media; therefore, the magnetic response may be neglected. The relation

between polarization and electric field is linear that is independent on the field

strength. By considering these assumptions the relation between polarization and

electric field may be written as [85]

0

0 ,),(),(2

),( tdtttt rErχrP

(2.13)

where χ(r,t) is the real-valued time-domain dielectric susceptibility tensor. For an

isotropic medium where the relation between electric polarization and electric field

is independent on the direction, the susceptibility tensor simplifies in the form

,),(),( Irrχ tχt (2.14)

where χ(r,t) is the scalar susceptibility and I is the identity matrix. A similar relation

to Eq. (2.13) between electric current density and electric field may be written of

the form

0

,),(),(2

1),( tdtttt rErσrJ

(2.15)

where σ(r,t) is real-valued electric conductivity tensor in space-time domain. For an

isotropic medium the electric conductivity tensor reduces to scalar conductivity

σ(r,t). In analogy to Eqs. (2.13) and (2.15), a relation between electric displacement

and electric field may be expressed as

,),(),(2

),(0

0

tdtttt rErεrD

(2.16)

The set of Maxwell’s Eqs. (2.9) together with Eqs. (2.12), (2.13), (2.15), and (2.16)

show the relation between field quantities. The integration of Eqs. (2.13), (2.15) and

(2.16) in space-time domain is challenging, therefore, an approach towards space-

frequency domain is applied. By using convolution theorem to Eqs. (2.13), the

Fourier transform of electric polarization, may be expressed as

),,(),(),( 0 rErχrP (2.17)

Page 40: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

16

Similarly, applying convolution theorem to Eqs. (2.15) and (2.16) gives a set of

equations [86]

),,(),(),( 0 rErεrD (2.18a)

),,(),( 0 rHrB (2.18b)

),,(),(),( rErσrJ (2.18c)

The set of Eqs. (2.18) is called constitutive relations or materials equations.

Substituting Eqs. (2.11) and (2.17) into Eqs. (2.18a) and applying Fourier transform

gives

0

,),(2

11),(1),( dtet ti

rχrχrε (2.19)

Equation (2.19) shows the frequency-dependence of permittivity and is called the

dispersion law of the electric permittivity tensor.

Now consider the relation between current density and electric polarization in

space-time domain

),,(),( tt

t rPrJ

(2.20)

A relation between relative complex permittivity and the electric conductivity is

obtained using Eqs. (2.11), (2.18a) and (2.18c) with Fourier transform

),,(),(),(ˆ0

rσrεrεi

(2.21)

where real and imaginary parts describe the stored energy due to polarization of

dielectric and loss due to conductive nature of the medium, respectively. In general,

the complex refractive index for isotropic media at optical frequencies is defined as

,)()()(ˆ)()()(ˆ iinn (2.22)

where n(ω), κ(ω), ε′(ω), and ε″(ω) are real functions. The attenuation index κ

represents damping or energy loss of a propagating wave through a medium e.g., it

is of much more significance for metallic and almost negligible for dielectric media.

Using the constitutive relations (2.18) and relative complex permittivity from Eq.

(2.21), the Maxwell Eq. (2.10b) may be written of the form

),(),(ˆ),( 0 rErεrH i (2.23)

Page 41: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

17

Applying the divergence operator on Eq. (2.23) and using the vector identity

0 V , we obtain

.0),(),(ˆ rErε (2.24)

Maxwell’s equations in space-frequency domain may be written of the form

),,(),( rBrE i (2.25a)

),,(),(ˆ),( 0 rErεrH i (2.25b)

,0),(),(ˆ rErε (2.25c)

,0),( rB (2.25d)

Equations (2.25) are called Maxwell’s equations in space-frequency domain which

will be used in proceedings sections.

2.4 BOUNDARY CONDITIONS

In photonic structures (microstructures) electromagnetic field should be continuous

across all the interfaces adjoining any two materials with different optical

properties. Since Maxwell’s equations that have been derived in section 2.3 are only

valid in continuous media, however, microstructured optical components are based

on the phenomena such as refraction, diffraction or scattering at the boundaries of

discontinuous media that need boundaries conditions to match field components

across these discontinuities. By defining a surface normal unit vector û12 pointing to

the medium of index 2, we may write the boundary conditions in the form

,0)(ˆ1212 BBu (2.26a)

,0)(ˆ1212 DDu (2.26b)

,0)(ˆ1212 EEu (2.26c)

,0)(ˆ1212 HHu (2.26d)

Boundary conditions (2.26) are valid across the discontinuities between any two

dielectric or finite conducting materials. From these equations it is clear that all the

normal magnetic field components and tangential electric field components are

continuous across the interfaces.

Page 42: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

18

2.5 WAVE PROPAGATION IN HOMOGENEOUS AND ISOTROPIC MEDIUM

Consider the propagation of electromagnetic field in a homogeneous and isotropic

medium, where Maxwell’s equations (2.25) in space-frequency domain are valid.

Applying operation to both sides of Eq. (2.25a) and using the vector identity

),()()( 2rUrUrU (2.27)

where U(r) is a vector field, Eq. (2.25b) gives

.0),()(ˆ),( 2

0

2 rErE k (2.28)

where 00 ck is the vacuum wave number and 000 1 c is the speed of light

in vacuum. An analogous mathematical treatment with Eq. (2.25b) and using Eq.

(2.25a) gives

.0),()(ˆ),( 2

0

2 rBrB k (2.29)

Equations (2.28) and (2.29) are the general wave propagation equations in

homogeneous and isotropic medium and are called Helmholtz wave equations for

electric field and magnetic induction, respectively. A simple solution of Helmholtz

wave equations is a plane wave for electric field and magnetic induction and may be

written as

,)(),( 0

rkErE

ie (2.30a)

,)(),( 0

rkBrB

ie (2.30b)

where k is the wave vector and defines the normal direction of the planes of

constant phase and therefore the propagation direction of the plane wave.

Electromagnetic plane wave from Eqs. (2.30a) and (2.30b) satisfy Helmholtz

general wave Eqs. (2.28) and (2.29), respectively, under the condition.

,)(ˆ 22

0

2222kkkkk zyx k (2.31)

where )(ˆ)( 0 nkk is the wave number in the material and )(ˆ)(ˆ n is the

complex refractive index of the material.

2.5 EVANESCENT WAVES

Evanescent waves are exponentially decaying waves usually occurred in

subwavelength optical structures and in the study of Surface Plasmon. Let us

Page 43: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

19

assume the geometry of considered subwavelength structures where the permittivity

distribution and the field are independent on y-component. Due to this geometry, it

is called y-invariant configuration that is all the derivatives in y-direction vanish in

Maxwell’s equation and the incident field propagates in the xz-plane. Consider a

plane wave propagating in a direction described by the wave vector k and given as

,),()(

00

zkxkii zxeezx EEE

rk (2.32)

A plane wave represents a propagating wave if the exponential is purely imaginary.

Let us assume a planar interface between two homogeneous materials indexed as 1

and 2 with real relative permittivities ε(1)

and ε(2)

with a plane wave propagating to

the interface from material 1 at an angle θ(1)

as shown in Fig. 2.1. According to

Snell’s law

,sinsin )2()2()1()1( nn (2.33)

which implies

,)2()1(

xxx kkk (2.34)

Also consider

.if

if

222222

222222

kkkkkki

kkkkkkk

yxyx

yxyx

z (2.35)

The propagation constant kz has either pure real or imaginary values. The real

values of kz represent homogeneous waves and the imaginary values show

evanescent waves.

Using Eqs. (2.34) and (2.35), we obtain

.sin )1(2

)1(

)2(2

0

)1(2)2(

kk z (2.36)

If we suppose that ε(1)

> ε(2)

, Eq. (2.36) can be solved for angles

)1(

)2(1)1( sin

n

nc (2.37)

For such angles kz(2)

becomes purely imaginary which means that field propagates

parallel to the interface but decaying exponentially along the z-direction in material

2. The 1/e decay distance of the electric field amplitude is then defined by 1/kz(2)

.

Page 44: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

20

Figure 2.1: Schematic representation of a plane wave propagating at the interface.

2.6 FOURIER MODAL METHOD (FMM)

Among several modal methods, we used the most popular and efficient method

based on Fourier expansion which is commonly known as the Fourier modal

method (FMM) [87,88] or the coupled-wave method (CWM). This method is used

to determine eigensolutions of Maxwell's equations in a periodic or piecewise

continuous medium by expanding the electromagnetic fields and permittivity

functions into Fourier series, and subsequently applying boundary conditions to

express fields inside the grating by an algebraic eigenvalue problem [89,90].

The method starts by sectioning the modulated region in slabs and finding the

solutions of Maxwell's equations in each individual slab. The result appears in the

form of forward and backward propagating fields consisting of modal fields. These

fields are pseudoperiodic and expressed in the formzie , here β is the eigenvalue

associated with a particular mode. For two polarizations the eigenvalue problem is

expressed in the matrix form which gives a set of allowed values of β and a set of

associated transverse field distributions for each polarization. The fields in all slabs

Page 45: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

21

are combined by applying boundary values at each interface. This represents an

overall field inside the modulated region, which is finally matched with fields in

homogeneous regions (Rayleigh expansions). Finally, again the problem is solved

in a matrix form and then the complex transmission and reflection amplitudes are

calculated numerically [91].

Figure 2.2: Schematic of the diffraction grating with various propagating fields in reflection and

transmission orders.

2.6.1 Principle of FMM

To maintain uniform continuity of electromagnetic field components in Maxwell's

equations across the entire permittivity modulated region, the fields are matched

inside grating and homogeneous media. The modulated region of the grating is

defined as 0 < z < h, which is treated as a periodic waveguide as shown in Fig. 2.2.

The field before (z < 0) and after (z > h) the grating region is expressed as a

superposition of plane waves. The z-invariant permittivity distribution ),(ˆ zx inside

the grating region and field components are expanded in Fourier series [8]. The

complex amplitudes of reflected and transmitted fields are determined by matching

the fields inside and outside of the grating region and applying boundary conditions

at the interfaces through S-matrix approach [92,93], as shown in section 2.6.2.

Page 46: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

22

2.6.2 Rayleigh expansion and modal field representation inside 1D grating

In order to illustrate the modal field representation inside and outside of a 1D

grating, invariant in y-direction, one needs to find an exact solution of Maxwell's

equations in all media. Such a solution must satisfy boundary conditions inside the

bound region of grating 0 < z < h at each discontinuous interface as shown in Fig.

2.2. Let us suppose that half space media (z < 0) and (z > h) are homogeneous with

refractive indices ni and nt, respectively and indices ni and nt are real and

permittivity distribution ),(ˆ zx inside the grating is z-invariant [8].

The TE polarized incident plane wave with unit amplitude, reflected and transmitted

m:th diffracted orders of electric field component Ey with complex amplitudes rm

and tm are given as

,),()( 00 zkxki

iyzxezxE

(2.38)

,)0,()(

m

zkxki

mryzmxmerzxE (2.39)

,)0,()(

m

i

mty

hzzmkxxmk

etzxE (2.40)

where

0zk ,

zmk and

zmk are the normal components of the wave vectors of the

incident plane wave, reflected and transmitted diffraction orders in the half space z

< 0 and z > h.

ixmixm

ixmxmi

zm

nkknkki

nkkknkk

0

22

0

2

0

222

0

if

if (2.41)

and

txmtxm

txmxmi

zm

nkknkki

nkkknkk

0

22

0

2

0

222

0

if

if (2.42)

Equations (2.41) and (2.42) show the normal components of the wave vectors for

each diffracted order (homogeneous and evanescent) in terms of the tangential wave

vector components kxm, which are given by the grating equation ,2

0d

mkk xxm

Page 47: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

23

where d is the grating period and kx0 = k0nisinθi. The field inside the grating region

is represented as a modal expansion [8].

n

hzi

n

zi

nngynn ebeaxXzxE ,)(),(

)( (2.43)

where βn is the modal propagation constant and an and bn are unknown modal

amplitudes. The evaluation of their values gives the field inside the grating and

Xn(x) is defined as

1

,)(m

xik

mnnxmeXxX (2.44)

where Xmn is explained in proceeding section 2.6.3.

2.6.3 Fourier expansion of permittivity distribution and Eigenvalue equations

for Transverse electric (TE) and Transverse magnetic (TM) modes

Let us consider a periodic structure with period d and relative permittivity

distribution εr(x) along x-direction. The periodicity of εr(x) is satisfied by the

condition

),()( xdx rr (2.45)

The Fourier series expansion of εr(x) is given by [91]

,)( 2

t

dtxi

tr ex (2.46)

where the Fourier coefficients are

d

dtxi

rt dxexd

0

2 .)(1 (2.47)

The z-dependent modal solutions of Maxwell's equation which form propagation

invariant fields and x-dependent solutions which satisfy the same periodic

conditions as permittivity are given by

,)( ziezZ (2.48)

and

),()( xXdxX (2.49)

Page 48: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

24

Let U(x,z) be the scalar component of a vector field representing the propagation

mode inside the structure of periodicity d. Such fields are called pseudoperiodic

fields and are of the form [8]

.)(),( 0 zixikeexXzxU x (2.50)

By using Eq. (2.49) X(x) may be written in its Fourier form as

,)( 2

q

dqxi

qeXxX (2.51)

where the Fourier coefficient Xq is

d

dqxi

q dxexXd

X0

2 .)(1 (2.52)

Therefore, Eq. (2.50) may be written as

q

zxki

qxqeXzxU ,),(

)( (2.53)

where dqkk xxq 20 and the Eq. (2.53) shows the general propagation invariant

field U(x,z) inside the modulated region of the grating in the form of transverse

pseudo-Fourier expansion.

To derive the eigenvalue equation for TE case, consider the Helmholtz equation

with electric field component Ey

.0),()(),(),( 2

02

2

2

2

zxExkzxE

zzxE

xyryy (2.54)

The electric field component Ey is parallel to discontinuous boundaries in yz-plane

and continuous everywhere inside modulated region, whereas εr(x) is discontinuous

at the boundaries. The product factor εr(x)Ey(x,z) in Eq. (2.54) have no common

discontinuity jumps and requires classic Laurent's rule to expand [92]:

q

lqlqxlql XkXkk .)()( 2

0

2

0 (2.55)

Eq. (2.55) may be expressed in matrix form as [8]

,)()( 2XX 0kβAIAE (2.56)

where E, A, I and X represent the matrices with elements ,ql ,0kkxl lq and ,qX

respectively. Equation (2.56) is called the Eigenvalue equation for TE-polarization.

Page 49: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

25

To derive the eigenvalue equation for TM-polarization, the differential equation

with Hy-component may be written as

,0),(),()(),()( 2

0

11

zxHkzxHz

xz

zxHx

xx

yyryr (2.57)

or

.)()()()()( 000 22

0

1 xikxikxik

rrxxx exXexXkexX

xx

xx

(2.58)

The right-hand-side of eq. (2.58) is continuous due to function X(x), whereas before

the curly brackets on the left-hand-side, the function εr(x) is discontinuous. To apply

modified Laurent's rule, the terms inside curly brackets must be discontinuous. The

function )(1 xr

can be expressed in Fourier series as [8],

t

dtxi

tr ex ,)( 21 (2.59)

with Fourier coefficients

d

dtxi

rt dxexd

0

21 .)(1 (2.60)

By associating a matrix S with elements ql to the inverse permittivity function

)(1 xr

and applying modified Laurent's rule with further manipulation, we get a

matrix eigenvalue equation for TM-polarization [8].

.)()( 2

0 XX k AAEIS

11 (2.61)

Eqs. (2.56) and (2.61) give the propagation constants n and Fourier coefficients

qnX for each mode of TE- and TM-polarizations respectively, after using standard

linear algebra algorithms and considering the appropriate convergence of the series.

Equations (2.56) and (2.61) give field expansions in terms of modal coefficients an

and bn inside the grating region 0 < z < h. The fields inside and outside the

modulated region are matched at boundaries z = 0 and z = h by applying

electromagnetic boundary conditions. This gives a pair of infinite system of

equations with unknown modal coefficients an and bn. The system of equations is

solved by e.g., classical Gauss elimination method for modal coefficients an and bn,

Page 50: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

26

which gives the reflected and transmitted plane wave amplitudes by means of

rigorous solution of the problem.

2.6.4 Convergence of numerical solutions

Numerically, the convergence of eigenvalue problems leads to confinement of M

modes in the solution. For 1D grating structures, the size of eigenvalue problem

becomes M × M, with M discrete, complex eigenvalues β. The eigenvalue matrix is

transformed to a 2M × 2M simultaneous equations after application of boundary

conditions, which are then solved by classical Gauss elimination method. For 2D

grating structures, where the fields are represented in two-dimensions, the

numerical calculations becomes more difficult with the increase in size of

eigenvalue problem to (2M)2 × (2N)

2, where, M and N are summation indices along

x and y-directions. Generally, for the numerical convergence of a solution, all

propagating diffraction orders with sufficient number of evanescent orders must be

present because evanescent orders contribute significantly inside modulated region

or near-zone. Thus the truncation numbers depend on the grating period and the

nature of gratings such as metallic or dielectric [91].

2.6.5 FMM for multistep profiles

For non-binary profiles, the real profile is divided in a number of small segments in

z-direction such that each small profile is approximated as a z-invariant lamellar

profile. The modal field expansions are executed in each slab and connected to

adjacent slab fields through modal coefficients after applying boundary conditions.

In this staircase method, the accuracy of result can be enhanced by dividing the real

profile in a large number of slices so as to make it as close to actual continuous

profile as necessary at the expense of computational time [91].

2.7 GUIDED MODE RESONANCE FILTER (GMRF)

The dielectric gratings which enhance the resonance anomaly characteristics of a

periodic structure by coupling the incident field to the leaky mode of the waveguide

of the grating for filtering applications are called Guided Mode Resonance Filters

(GMRFs). In other words, a diffraction grating in a dielectric material, in general, is

Page 51: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

27

a GMRF, which consists of a periodic modulation of refractive index [94,95]. When

a plane wave is incident on the diffraction grating then it splits into plane waves that

propagate in various directions, i.e., called the diffraction orders as described by the

Rayleigh expansions from Eqs. (2.39) and (2.40) [8,24,96]. At specific wavelength

and angular orientation of the incident illuminated plane wave, the structure

resonates with complete interference and no light is being transmitted [94]. Due to

the nature of the leaky modes, light couples out of the waveguide and propagates to

smaller distances. The out coupling light appears in the form of narrow peaks in

reflectance which then changes from 0 to 100 % over a selectable range of optical

parameters [27,97].

Resonance phenomena were observed by Wood in 1902, when he observed rapid

variations in the efficiencies of metallic reflection gratings in narrow spectral

regions for TM polarized light [28]. Wood observed unexpected bright and dark

narrow bands in the spectrum of a metallic reflection grating, illuminated by a

slowly varying spectral intensity distribution of a light source. He further noted that

these bands weakened by simply rubbing the surface of the gratings and depended

on the polarization of the incident light. These bands appeared only for p-polarized

light, where the electric field vector was perpendicular to the grating lines. Since,

these spectral effects could not be explained by ordinary grating theory; Wood

named them as anomalies.

In 1907, Rayleigh explained these anomalies in terms of outgoing scattered fields.

These scattered fields become singular at particular wavelengths when one spectral

order emerges at grazing incidence. These wavelengths are called Rayleigh

wavelengths and their spectral positions are slightly different than actual resonance

positions [15]. In 1965, Hessel and Oliner [15] demonstrated a theoretical model

with the description that the anomalies in reflection gratings were due to excitation

of surface waves on metallic gratings. In their model they investigated two different

types of variations in efficiencies: a Rayleigh and resonance type.

In Rayleigh anomalies, the earliest theory described the presence of singularities in

the scattered field at Rayleigh wavelength with the appearance of a new spectral

order that correspond to p-polarized light. Rayleigh also explained that the entry of

Page 52: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

28

such a new spectral order corresponds to Wood anomalies. Due to appearance of

new spectral order, one could possibly notice rearrangements in the amplitude of

rest of the spectral orders. However, shallow metallic gratings illuminated by s-

polarized light, where electric field vector is parallel to the grating lines behaves in

such a way that the radiation field for a new spectral order is short circuited when it

enters at grazing angle. Therefore, Rayleigh anomalies occur only for p-polarized

light.

When the intensity variations in Wood’s anomalies were investigated carefully, it

was found that the appearance of a new spectral order was not sufficient enough to

describe the rapid intensity variations. There is, in addition, present a resonance

effect that sometimes occur at wavelengths far away from Rayleigh wavelengths.

Such kind of anomalies was called resonance anomalies due to guided complex

waves supported by the structure. Therefore, two kinds of anomalies are:

i. Rapid variation in the amplitudes of the diffracted spectral orders that

correspond to either onset or disappearance of a particular spectral order,

and

ii. A resonance type due to guided complex waves supportable by these

structures.

2.7.1 Structure of a GMRF

The structure of a simple GMRF is shown in the figure 2.3. It consists of a substrate

with an index nt, an overlapping diffraction grating over it with refractive index

distribution n2(x) along x-direction and an incident medium (normally air) with

index ni. The direction of various propagating diffraction orders in 1D-gratings can

be calculated by fundamental grating equation [8].

,sinsin2 dmnn iim (2.62)

where d is grating period, λ is wavelength of incident light, θi is incident angle, θm is

diffracted angle, m = 0, ±1, ±2, ±3.... is the index of diffracted order, ni and n2 are

the refractive indices before and after the interface. For reflection gratings n2 is ni

and for transmission gratings n2 is nt.

Page 53: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

29

Figure 2.3: Schematic of guided mode resonance filter’s structure with forward and backward

diffraction orders.

In this thesis one particular type of binary grating is considered, which is fabricated

in thermoplastics by nanoimprint lithography followed by fabrication of a cover

layer of high index amorphous TiO2 film of uniform thickness by atomic layer

deposition. In general, rather more simplified way, the interaction between a

waveguide mode and the grating by means of the waveguide mode field at the

interface can be enhanced by deposition of such high index TiO2 films on

corrugated profile [45]. A schematic of this grating with layered structure in

modulated region is shown in Fig. 2.4.

2.7.2 Principle of Operation

To get narrow reflection or transmission peaks, it is important to understand the

working principle of the GMRF which is based on the excitation of leaky

waveguide modes. Let us consider only the reflecting field by using a grating with

period sufficiently small to allow only zero order diffracted waves. A plane wave is

incident on the grating as shown in Fig. 2.3. The resulting reflected fields above the

grating can be assumed to come up from two separate contributions: a directly

reflected and a scattered field [97]. The direct reflection is the primary reflection

from material boundary as expected from Fresnel’s equations, whereas, the

Page 54: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

30

secondary reflection occurs due to excitation and rescattering of leaky waveguide

mode when the following coupling relation holds:

,20 dnkx (2.63)

where kx is the wave vector and γ0 is a propagation constant of fundamental mode.

In the resonance excitation regime, the phase of the secondary field varies rapidly

with the incident field (wave number) and at a particular point becomes completely

in phase with primary reflected field to give a characteristic narrow reflected peak

with wavelength or angle [30]. Figure 2.3 shows the propagation constant γ of leaky

waveguide modes in lateral direction, perpendicular to the direction of grating’s

grooves. Since the modes are leaky and can be represented by the real and the

imaginary parts of propagation constant γ, which in turn form a complex-plane,

called complex γ-plane. The leaky modes are thus represented by a pole in this

complex γ-plane. A planar waveguide supports at least one mode, which is

represented by a single pole on the real γ-axis.

As the periodicity is introduced in the planar waveguide, this single mode splits into

an infinite number of spatial diffracted orders, represented by complex poles with

separation 2π/d on the complex γ-plane. The magnitudes of the real and imaginary

parts of the complex pole represent the range of the modes excited by the input field

(overlapping of the real part with the input field) and the coupling loss, respectively.

Figure 2.4: Schematic of GMRF with high index TiO2 cover layer by ALD technique.

Page 55: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

31

Figure 2.4 shows the GMRF considered throughout the presented work; the

replicated grating structures in polymers are coated by high index TiO2 thin films

that form the waveguide layer. The excited modes are couple strongly by this high

index waveguide layer and the excited modes propagates in the lateral direction,

i.e., in the direction normal to the grating’s grooves.

Page 56: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

32

Chapter 3: Experimental Techniques for

Structure Fabrication, Replication and

Characterization

3.1 ELECTRON BEAM LITHOGRAPHY

Lithography process employing electron beams to expose resists materials was one

of the earliest processes used for the fabrication of integrated circuits [99]. Today,

all large scale production lithographic structures, even down to 100 nm are

fabricated by optical lithographical techniques because of the advancements in

stepper technology as the bulk manufacturing process [100–101]. In spite of high

throughput, these techniques could not be used for the fabrication of structures with

feature sizes down to 50 nm. The gap is covered by employing electron beam

lithography for even smaller features that covers two main aspects. They can be

used to generate masks [102] which are used in all projection, proximity and contact

exposure systems and to fabricate low-volume manufacturing of ultra-small features

in high performance and functional devices [103] with sufficiently high resolution

[104]. However, in between, a technique so called mix-and-match lithography

where e-beam systems are used to generate especially small features while optical

systems take care of the rest size structures. Therefore, e-beam systems play a

significant role in advanced manufacturing tools despite their throughput limitations

as serial exposure systems. In e-beam systems, electron optics is similar to that

employed in a scanning electron microscope (SEM) [105]. In this thesis e-beam

system Vistec EBPG5000+ES HR was used as a direct writing tool to generate

rectangular patterns at a voltage of 100 kV with a minimum spot size of less than

2.5 nm.

A schematic ray diagram of electron-beam lithography system is shown in Fig. 3.1

where several lenses are considered as thin optical elements. Electron source

consists of an electron gun which can be, for example, a thermionic gun using a

tungsten hairpin, a lanthanum hexaboride source, or a field emission/ thermionic

field emission tungsten gun. The electrons are emitted from the emission source

Page 57: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

33

whose cross-over is focused on the substrate surface by means of two magnetic

lenses L1 and L2 for pattern exposure. The beam half angle is controlled by the

beam shaping aperture. To control and minimize the current flowing down the

column, beam shaping aperture must be placed close to the gun or sometimes it

becomes an integral part of the gun. This controlled column current reduces the

electron-electron interactions that might cause an increase in the diameter of the

focused spot on the substrate. Secondly, a lower column current reduces the

insulating contaminating films on the optical elements after controlling the amount

of polymerizing residual hydrocarbons or corresponding siloxane molecules. If

however, contaminating films grow on optical elements, they acquire electric

charge that might result in beam drift and effect pattern resolution.

A magnetic or electrostatic deflector is used to drift the focused beam on the

substrate to be exposed where the deflector is very often placed after the final lens.

The beam can be made off by a beam-blanker assembly consisting of an aperture

and a deflector. In absence of deflector, beam passes through the aperture and hits

the targeted sample; however, in presence of deflector, beam diverts and strikes the

body of the aperture.

Let I be the beam current hitting on the sample at an area A with a charge density

(dose) σ, the total exposure time t is given as

,IAt (3.1)

For short exposure time beam current must be high enough and resist should be as

sensitive as possible. The beam current is given as

))(4

( 22

d

I (3.2)

where α is beam half angle, d is the diameter of the focused spot on the sample and

β is the brightness of the source. Generally, current density in the focused spot is

not uniform and consists of a bell-shaped distribution and corresponds to an

effective diameter d. The current density can be made high if one uses high values

of gun brightness and beam half angle.

Brightness can vary by several orders of magnitude depending on the gun being

used, for example, a value of 105 A cm

-2sr

-1 where numerical aperture is about

Page 58: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

34

5×10-3

rad. If one considers a beam spot diameter of 0.5 µm (limited by the source

diameter and magnification by optical elements), a beam current of about 15 nA is

calculated from Eq. (3.2) for typical lithographic system. The exposure time can be

calculated from Eq. (3.1). If one uses a dose of 4800 µC cm-2

, a beam current of 15

nA and a coverage area of 5×5 mm2, a value of about 22.2 hrs of exposure time is

predicted which means that exposure time can be reduced using high beam currents.

Since the resolution of scanned e-beam lithographic systems is not limited by

diffraction, a defocus error Δz may cause to give rise a term called disc of confusion

(Δd) given by the geometrical optics:

,2 zd (3.3)

where α is beam half angle. In e-beam lithographic systems, the depth of focus is

sufficiently large to have minimum effect on resolution.

Figure 3.1: A schematic of a typical e-beam lithographic system with optical elements (magnetic

lenses).

Page 59: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

35

3.1.1 The Proximity Effect

During e-beam exposure to the resist materials, the beam probe at the exposed resist

areas is less than that of the region of resist interaction with electrons. In the

exposure process both elastic and inelastic electrons are scattered from the resist

and the substrate. Several models have been proposed for elastic scattering in

submicron- to micron-sized patterns. For example two-Gaussian models to describe

the deposited energy in a resist during exposure from a point source have been

proposed [101]. High amplitude, narrow Gaussian beam describes the incident

beam broadening due to elastic scattering from the resist. Since the atomic number

of the resist materials is generally low and electrons are scattered through smaller

angles that make the exposure area narrow around the incident beam. Substrate

material also gives a secondary Gaussian due to elastic scattering whose amplitude

is about an order of magnitude less than resist Gaussian but it is much broader. The

backscattered electron exposes the resist material to relatively large distances from

the primary electron beam.

The effect of backscatterd electrons on the patterns depends on several parameters

such as electron energy, resist thickness, substrate and the specific pattern. The

scattered electrons from a single excel results in an additional exposure of nearby

excels. This results in a dose dependence on pattern density, feature size and excel

position in the pattern. Due to this backscattering effect the effective dose at the

corners and edges of patterns is less than that at the center.

3.2 ELECTRON-BEAM RESISTS

Resist materials are liquid state polymers dissolved in solvents in proper proportion.

The solubility of the polymer in the solvent is a strongly varying function of its

molecular weight. Resists materials are coated easily on the surface of a variety of

substrates by spin coating process as thin surface films to generate a corresponding

image of exposed writing patterns. Following to spin coating process, the solvent is

driven-off from the substrate surface by pre-baking (soft-baking) that leaves a

durable polymer film on the substrate which becomes ready for exposure and

subsequent desired patterns.

Page 60: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

36

Resist materials are sensitive to e-beam exposure that can be modified after having

been energized through exposure in the sense that polymer (resist) chains cross-

linked strongly or loss their cross-linking properties depending on the nature of

resist i.e., negative or positive resists, respectively. The energy deposition process

may results in splitting of polymer chains (chain scission), cross-linking or acid

catalysis. Such modification either appears directly in the development solution or it

requires an intermediate step such as a post-exposure bake (hard-baking).

Thermodynamic properties of polymeric resists play an important role during all the

processes. During the coating, exposure, and development processes of a resist, the

glass transition temperature (Tg) of a polymer influences planarizability, flow, and

diffusion. Although reasonably high Tg values may be desirable, glassy materials

with values above 200 °C are not suitable because of poor mechanical performance.

Resists materials are generally characterized in terms of the properties such as tone,

sensitivity, contrast, resolution, viscosity and dry-etch resistance [106]. Resist

materials are divided into two categories; positive and negative. In a positive resist

exposed regions are more soluble in a developer than that of unexposed areas and

are removed in a development process. On the other hand unexposed areas are more

soluble and clean in a developer solution are regarded as negative resists. In this

thesis both positive and negative e-beam resists are studied and employed to

fabricate subwavelength rectangular (binary) profiles.

3.2.1 PMMA Resist

Poly(methyl methacrylate) (PMMA) is the one of the first positive electron-beam

lithographic resist at low doses which is commonly and extensively used due to its

high resolution. The reported resolution is below 5 nm [107], however, the

limitation is due to secondary electrons generated in the resist, although the role of

molecular size and development is important. The commonly used developer for

PMMA resist is Methyl isobutyl ketone (MIBK) with an appropriate proportion of

Isopropyl alcohol (IPA) to give a reasonable sensitivity and contrast [108]. PMMA

has a poor sensitivity, etch resistance and thermal stability and is available in

various molecular weights from 50 k to 1 M.

Page 61: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

37

3.2.2 ZEP Resist

ZEP is a positive electron beam resist which is a copolymer of chlorome-thacrylate

and methylstyrene with a better sensitivity, resolution and etch resistance than

PMMA [109]. It has an excellent etch resistance during plasma etching and acts as a

mask in dry etching of metals [108]. In this research work all of the subwavelength

grating structures are fabricated using ZEP 7000-22 and a developer ethyl 3-

ethoxypropionate (EEP) for a development time of 60 s followed by 30 s in IPA and

rinsing with DI water for a sufficient time.

Figure 3.2: SEM pictures of top view of grating structures of master stamps of HSQ resist on Si

substrate.

3.2.3 HSQ Resist

Hydrogen silsesquioxane (HSQ) is a high resolution, inorganic negative tone resist

and it has been employed for the feature sizes less than 10 nm due to its small

molecular size [110, 111]. It is available from Dow Corning with a code FOX-12,

and the developer we used is MP 351 which contains disodium tetraborate

Page 62: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

38

decahydrate and sodium hydroxide. The developer solution is made by mixing MP

351 with water in ratio 1:3 (MP 351:H2O) [112]. Linewidths of 6 nm and 10 nm

have been demonstrated on HSQ layers of thickness 20 nm and 10 nm, respectively

[113]. Dense grating structures have been made on Si and Si3N4 substrates using

HSQ resist [114]. The advantage of HSQ is its etching resistance to O2 which

enables its use as a bilayer resist, for example in etching with Cl2 after O2 plasma

hardening [111]. HSQ has a limited shelf life and because of its high sensitivity, it

cross-links around the lithographic features. In order to minimize these problems, it

is stored in refrigerators and in bottles which are free from contaminations to avoid

cross-linking.

Figure 3.3: SEM pictures of front cross-sectional view of binary grating structures of master stamp

using HSQ resist on Si substrate after heat treatment process.

HSQ can also be used as a direct stamping material after e-beam exposure and

development, for example, in replicating pattern sizes of 30 nm in PMMA,

polycaprolactone (PCL) and polycarbonate by hot embossing [112, 115]. In this

work we used HSQ resist to fabricate a binary grating stamp on a Si substrate

Page 63: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

39

without any etching process. The stamp is made by heat treating HSQ at a

temperature of 300 °C for 3–5 hours, which transforms HSQ into SiO2 [116–119]

improves its mechanical properties and makes it suitable to use as a direct

replication stamp in polycarbonate (PC), cyclic-olefin-copolymer (COC) and UV-

curable materials such as OrmoComp [120]. The scanning electron microscope

(SEM) pictures of top view of grating structures of HSQ stamp are shown in Fig.

3.2 and front cross-sectional view in Fig. 3.3. The molecular structure of HSQ

consists of cage structure Si–H at room temperature and is very sensitive to the

curing temperature. The higher Si–H content indicates the presence of a cage

structure that results in a larger free volume of molecular arrangements. The

thermal curing transforms the HSQ from a cage to a network structure with the

formation of dense Si–O–Si molecular arrangements and increases the refractive

index [119], mechanical and dielectric properties [117].

3.3 REACTIVE ION ETCHING TECHNIQUES (DRY ETCHING)

Etching is an important and critical step in microfabrication process. In general, a

lithography step is followed by the selective etching of films or substrates to

produce the desired micro- and nano-patterns and structures. During etching of the

materials, an important factor is the selectivity of the etched material i.e., the

relative removal rate of the target material to that of the masking layer or other

structures (a high selectivity number shows that the difference in etch rates of two

materials is higher). Since the etched profiles can be as deep as several hundred

microns, proper choice of masking materials and their thicknesses is critically

important to avoid unwanted removal of the features on the substrate. The most

commonly used materials for mask layers when etching polysilicon or crystalline

silicon are silicon dioxide and silicon nitride.

Metal films have also been used as masking layers in dry etching processes. If the

etching is aimed without any preferred direction, it is called isotropic etching. If

certain directions are etched at different rates than the others because of the

structure of the substrate or the process variables, the etching is called anisotropic

[121].

Page 64: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

40

Highly reactive particles called radicals react with the substrate and results in the

formation of new molecules which are more energetic than the substrate bond

strength. Subsequently, this new molecule removes from the material’s surface and

is called reactive etching. Radicals are isotropic in nature and etch material in all

directions equally, if however, a regular periodic rectangular trench is required

where lateral etching is to be prevented, inhibitors are used. An inhibitor layer is

created when the substrate reacts with the incoming gas and develops a protective

layer so that radicals cannot penetrate through it, this protects the substrate. If one

desires to etch through the substrate by removing the inhibitor which is achieved by

ion bombardment. The incident ions of sufficiently high energy remove the

inhibitor and etch the materials, since the direction and location of ions can be

controlled, material removal is highly accurate.

A mask protects incoming ions from the pre-determined locations to generate the

desired pattern at specifically designed depths due to known etch rates [122]. Thus,

reactive species are generated by the bombardment of injected atoms or molecules

with high energy plasma electrons (which are created by a strong RF field). As a

result of collisions, chemical bonds break and create ions and radicals which

undergo subsequent reactions to create more reactive species. These reactive

species or ions are accelerated towards the sample surface and remove material

through a mask either physically or chemically [123]. In this thesis reactive ion

etching (RIE) is employed to create nanostructures in TiO2 layer deposited on fused

silica substrate in presence of ZEP resist and Cr layer acts as mask.

3.3.1 Reactive ion etching of TiO2 material

Figure 3.4 schematically shows all the process steps performed during TiO2

etching. The fabrication of the TiO2 gratings employed ALD, EBL and RIE

techniques. The fabrication started by cleaning the fused silica substrates with a

diameter of 25 mm and a thickness of 0.5 mm with isopropanol followed by a dry

nitrogen blow. After cleaning the substrates, thin amorphous films of TiO2 with

thicknesses of ~200 nm were coated on the substrates by ALD, using Beneq TFS

200–152 reactor. The commonly known precursor materials TiCl4 and H2O were

used at a low deposition temperature of 120 °C, with chamber and reactor pressures

Page 65: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

41

of 6.80 mbar and 1.59 mbar, respectively. Nitrogen was used as the carrier for the

precursor materials and as a purging gas after each precursor pulse during a cycle.

The flow rate of TiCl4 precursor was 200 sccm (standard cubic centimeter per

minute) with a pulse duration of 150 ms, which was followed by a purging for a

duration of 750 ms. For H2O precursor, the flow rate was maintained at 300 sccm

for a pulse duration of 150 ms with a subsequent purging for 1 s. The growth rate of

the deposited films was 0.065 nm per cycle which was measured by the Dektak 150

stylus surface profilometer from Veeco Metrology, and by an ellipsometer.

Figure 3.4: Schematics of reactive ion etching (RIE) of TiO2 material.

The ALD process was followed by the sample coating with a thin Cr layer of

thickness ~30 nm by electron beam evaporation at a vacuum level of 1.5 × 10-6

mbar with a deposition rate of 2 Å/s using the Lebold L560 vacuum evaporator

from Lebold Heraeus. The Cr coated sample was then prepared for a deposition of a

thin layer of positive electron beam resist ZEP 7000 22 by a spin coating process at

Page 66: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

42

a spinning speed of 2900 rpm for 60 s using Headway spinner PWM101D from

Headway research Ltd. The resist layer was soft baked at a temperature of 180 °C

for 180 s on a hot plate to evaporate the solvents. The resist was then patterned on

an area of 7 × 7 mm2 by an electron beam writer EBPG5000+ES HR from Vistec

Lithography at a scaled dose of 200 µC/cm2. After e-beam exposure the sample was

developed with 99 % Ethyl 3-ethoxypropionate (EEP) from Aldrich Ltd. for 60 s,

followed by rinsing with isopropanol for 30 s and deionized water, finally dried

with a blow of nitrogen.

After the e-beam exposure and development processes, the sample was etched by

RIE. The Cr mask was dry-etched at a low pressure process (15 mtorr) in the

presence of Cl2 and O2 reactive gases together with inductively coupled plasma

(ICP) at 1500 watt using Plasmalab 100 from Oxford Plasma Technology. A total

flow of ~58 sccm was maintained for a Cr-etching time of ~95 s. The Cr-etching

was followed by a resist removal employing O2 plasma at 100 watt RF power and a

flow rate of 20 sccm for 180 s using March CS-1701 from Microtech-Chemitech

AB. The O2 plasma not only etches the resist layer and the constituent ashes but

also cleans the sample thoroughly for the subsequent TiO2 etching. The TiO2

etching was carried out using the reactive gases SF6 and Ar plasma with a total flow

of 15 sccm at 300 watt RF power, at 20 mtorr process pressure, and with different

etching times by using Plasmalab 80 from Oxford Plasma Technology. After

completion of the TiO2 etching process, the sample was cleaned with O2 plasma

with the same parameters as mentioned above.

The final process step was to remove the Cr layer by wet etching from the entire

sample surface. This step was performed in a mixture of Ammonium cerium (IV)

nitrate from Sigma-Aldrich, acetic acid, and deionized water for sufficient duration

to completely remove the Cr-layer. The Cr wet-etching was followed by rinsing

with deionized water drying with nitrogen blow to complete the fabrication of TiO2

grating structures. The dry etching process is highly anisotropic and directional

etching is achieved in the presence of a mask, whereas, the wet etching is isotropic

and removes the material almost equally in all the directions. The etching process

Page 67: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

43

resulted in a positive sidewall slope of TiO2 ridges which may be due to polymer

passivation as shown in Fig 3.5.

Figure 3.5: SEM picture of a subwavelength TiO2 grating structure on fused silica substrate.

3.4 MICRO HOT EMBOSSING AND NANOIMPRINTING

The hot embossing process is divided into four cycles which are given below.

a) Heating of a thin polymer foil to a molding temperature.

b) An isothermal molding process by embossing that is velocity- and force-

controlled.

c) Cooling of molded part to a de-molding temperature with a constant force

during the process.

d) De-molding of the replicated component from the original mold (stamp).

In the beginning of twentieth century the process of hot embossing was matured

commercially for the replication of micro sized features for recordings. The

recording groove was used as a carrier of information for acoustic information

which was replicated on a 12-inch disc defined at large scale hot embossing. The

record developments and its replication started in 1870s when Emil Berliner

developed microphones and telephones. The first stereo cutting process of a shellac

Page 68: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

44

record was developed by the engineer Alan Dower Blumlein using two channels.

The left channel was based on the vertical cut (by Edison) and lateral cut (by

Berliner) for the right channel. Both information channels formed a right angle and

the cutting pin traced a spatial curve. In 1982, a new cutting process so called direct

metal mastering (DMM) was introduced for record production at Telefunken-Decca

Company. Thus the history of record development for acoustic applications

illustrates the significance of hot embossing for the development of grooved

structures on a layer so called residual layer as a carrier layer of a stereo long-

playing records. In 1990s the nanoimprint technology was proposed by Chou et al.

[124–126] as a nanofabrication technology for various grating structures. The

Nanoimprint lithography (NIL) was based on the Molded Mask Method which was

first invented by NTT laboratories in Japan in 1970s [127]. Three different

approaches may be considered during nanoimprinting process i.e., nanoimprinting

by micro-contact printing, molding of UV-curable materials and molding of

thermoplastics.

Thermal nanoimprinting and hot embossing processes are similar, as both use

thermoplastics and heating/cooling steps. Hot embossing is a two step compression

molding cycle, where the polymer in the form of a thin sheet or foil is used [128].

The polymer sheet is heated by conduction around glass transition temperature and

a velocity- and force-controlled compression step initiates the flow of a polymer

melt into microcavities of the structure. This process continues for a particular time

called the dwell time at a constant temperature above glass transition temperature,

after that the temperature is decreased gradually. A residual layer of polymer is

formed adjacent to the rough plate surface due to an excess polymer melt flow,

which facilitates the pressure necessary for filling the microcavities [129]. Finally,

cooling occurs down to the de-molding temperature of the polymer and the molded

part is de-molded from the mold by detaching the replicated part in the direction of

grating lines.

The process parameters in terms of temperature, pressure and time depend on the

nature of the polymer [130]; in our case we set the temperature at 165 °C for 120

seconds at a constant pressure of 50 bar and the de-molding occurred at a

Page 69: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

45

temperature of 50 °C. The nanoimprinting was performed in polycarbonate, cyclic-

olefin-copolymer and UV-curable material Ormocomp. The SEM pictures of

replicated patterns are shown in Fig. 3.6. In this work we used the Obducat Eitre

imprinter as a hot embossing tool, and the replication process is described in detail

in paper [112].

Figure 3.6: SEM pictures of replicated subwavelength grating structures in (a,b) Polycarbonate (c)

Cyclic-olefin-copolymer (d) UV-curable polymer Ormocomp®.

In this work the replication of microstructures of high aspect ratio are characterized

by microcavities mostly with small cross-sections that are needed to be filled with a

viscous polymer flow. Furthermore, hot embossing is characterized by short flow

distances from the molten semi-finished product into the cavities. If one compares

with the working of injection molding, one finds that the flow distances are much

shorter and the velocity is much lower, which results in a significantly lower shear

stress of the polymer. The final replicated components thus have a lower residual

shear stress due to a reduced shear stress during filling of microcavities. Because of

Page 70: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

46

lower residual stress the process is best suited for the replication of microstructured

aeas which are approximately impossible to replicate by micro injection molding

[129].

3.5 THIN FILM DEPOSITION TECHNIQUES

In order to fabricate microstructured surfaces for an optical device, thin films play a

significant role in the performance of an optical component. Thin films can be

deposited by employing various physical and chemical vapor deposition techniques.

Depending on the device application by taking into account various factors and

deposition parameters for the desired film growth such as type of the film (e.g.,

metal, dielectric or semiconductor), mechanical properties (e.g., stresses in the

film), electrical properties, optical properties (refractive index, extinction

coefficient, reflectivity, transmittivity), film quality (e.g., with minimum defects),

film thickness uniformity, film growth rate, and other economical factors for the

film growth. There are various techniques to deposit optical thin films; however, in

this thesis two deposition techniques are reported for the creation of nanostructures.

These are broadly categorized as Physical vapor deposition (PVD) and Chemical

vapor deposition (CVD) [121].

3.5.1 Physical vapor deposition (PVD)

In simple words to force the atoms of a target material to leave a source and

physically adsorb on the surface of a substrate, such techniques are called as

Physical vapor deposition since no chemical reaction is involved in such growth

mechanism. PVD techniques are further divided in evaporation and sputtering

depending on the required film properties [121].

3.5.1.1 Evaporation

This technique is commonly used for the deposition of metallic films

where the target material is evaporated either thermally or by electron-beam and the

emitted target atoms are deposited at a substrate that is held at a given distance from

the target in a vacuum chamber to avoid contaminants in the ambient. The average

Page 71: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

47

distance a particle travels between collisions with other particles is called mean free

path and given as [121]:

Pd

TKB

22 (3.4)

where KB is the Boltzmann’s constant (1.381 × 10-23

JK-1

), T is ambient temperature

in Kelvin, P is the pressure and d is the diameter of the gas molecule. In vacuum

evaporation the molecules that left the target material (melt) possess long mean free

paths. This means that molecules can travel a distance of several meters in vacuum

and can be regarded as a point source of target material that travel in straight line

until they hit the substrate surface and deposit there physically [121].

3.5.1.2 Sputtering

In sputtering the surface of the target material is bombarded with a flow

of relatively heavy energetic ions to knock off atoms at the surface of the target

material which then settle down on the surface of the substrate and gradually form a

thin film of the material. The energetic ions are produced with plasma at pressures

on the order of a few mTorrs. Argon is most commonly used gas because of its high

atomic mass and as a noble gas meaning that it does not react with the target or

substrate. Xenon may also use for physical sputtering of materials.

The required plasma can be generated by applying a large DC voltage (from about

500 V to few kilovolts) between two electrodes separated from each other by a

distance of 5–10 cm. For a large enough electric field, the free electrons in the gas

between the electrodes accelerate towards the anode (i.e., the electrode connected to

the higher voltage) and collide with gas molecules on their way, resulting in release

of a large number of species such as excited atoms, high energy electrons, and

positive ions. This is so called as gas breakdown. When the excited atoms return to

their relaxed state, they emit excess energy in form of photons, which generates

plasma with its characteristic glow.

The plasma is sustained by continuous generation of these energized particles. The

plasma color and intensity can be used to calculate and monitor the deposition rate

Page 72: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

48

in a sputtering system. The generated positive ions of sufficient high energy

bombard the cathode and transfer part of their momentum to the atoms at the

surface of the cathode that results in to knock atoms out. Some of these atoms

deposit on the surface of the substrate and grow a film [121].

3.5.2 Chemical vapor deposition (CVD)

Chemical vapor deposition (CVD) is a technique to deposit a variety of materials

such as dielectrics, semiconductors, and metals through chemical reactions. In the

beginning the precursor gases are transferred to the surface of a substrate where

they react with each other and form molecules which then adsorb on the solid

surface. In such reactions the surfaces act like a catalysor and molecules result in

the growth of desired film and the reaction byproducts are transported out from the

chamber after desorbing from the surface [121]. CVD technique has been divided

into several processes; however, all of the research work presented in this thesis has

employed Atomic Layer Deposition ALD as a CVD process.

3.5.2.1 Atomic Layer Deposition (ALD)

Thin film electroluminescent (TFEL) displays were required

for better phosphors and dielectric film stacks where ALD was used to fabricate

pin-hole free and high quality films. Since then, the use of ALD in several optical

applications has widened to optical filters and different kinds of optical

nanostructures. Although ALD was invented for making TFEL displays, the late

material research has focused much more on the electrical rather than optical

properties of ALD materials [131]. Thus, it is often quite difficult to find optical

parameters of ALD materials and good processes for different optical or photonics

applications.

The optical properties of crystalline materials are strongly dependent on the crystal

structure of the material. ALD grown TiO2 films can be amorphous or crystalline

depending on the growth temperature with quite different optical properties. The

refractive index can vary from about 2.2 of amorphous TiO2 to 2.65 of

preferentially oriented anatase [132] at a wavelength of 633 nm. Crystalline

materials exhibit high scattering losses, if the crystal size is more than a few

Page 73: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

49

nanometers due to material defects e.g., grain boundaries. This can be prevented by

applying thin intermediate layers. Adding intermediate Al2O3 layers to crystalline

TiO2 films increases the specular transmittance and the material behaves optically

amorphous [133]. In waveguide applications, light is usually propagating

centimeters instead of hundreds of nanometers or a few micrometers as in optical

coatings, so the quality requirements are more demanding. The research work

presented in this thesis focuses on low temperature titanium tetrachloride TiCl4 and

H2O process that gives high optical quality amorphous TiO2 films.

ALD is a method in which a gas phase chemical reaction occurs on the solid surface

to deposit thin and uniform films [134]. ALD growth proceeds in cycles and during

one cycle the precursor materials are pulsed in the reactor alternatively, one at a

time, separated by purging with nitrogen gas pulses to remove unnecessary material

or reaction byproducts. Each precursor pulse saturates the surface with a

monomolecular layer which grows the film in a self limiting and conformal manner.

The first precursor pulse when exposed to the surface reacts with the functional

groups of the surface and forms a monomolecular layer or is simply chemisorbed in

case of no functional groups. After the purging step, next precursor pulse is injected

which reacts with the already formed monomolecular layer and produces the

desired solid with the release of some chemical ligands which are then removed

during the next purging pulse [131]. Very often during an ALD growth, the surface

layer is a fraction of a monomolecular layer due to availability of less number of

reactive surface sites or the steric hindrance due to bulky ligands [135]. The

increment in film thickness is digital, which results from the discrete increments

during the ALD process [136].

ALD is a form of chemical vapor deposition (CVD) that can coat intricate shapes of

high aspect ratios with a conformal material layer for waveguide applications [137].

In the ALD chamber, the chemical surface reactions can be driven by several

energy mechanisms, however, thermal activation reactions are the most often used.

At low thermal energy, it is difficult to achieve a complete chemical reaction while

reactions at higher temperatures do not yield higher growth rate because of

Page 74: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

50

desorption of species from the surface. Therefore, it is important to maintain the

temperature in the intermediate range called the ALD Window [138].

Figure 3.7: SEM pictures of TiO2 thin films on replicated structures (a) Polycarbonate with t = 80

nm (b) Polycarbonate with t = 60 nm (c) Cyclic-olefin-copolymer with t = 50 nm (d) UV-curable

material Ormocomp with t = 50 nm.

High index amorphous TiO2 films have been widely used in a number of potential

applications [139, 140]. The refractive index and density [141] (in terms of

porosity) of the TiO2 films fabricated by ALD [142] are relatively higher than the

ones of films grown by other methods [143]. A low temperature ALD process

results in films that are amorphous in nature and suitable to use in optical nano-

device applications. In this work we fabricated the TiO2 films by using commonly

known precursors TiCl4 and H2O [144] at a relatively low deposition temperature of

120 °C. High index, amorphous, thin TiO2 films of different thicknesses t were

fabricated by using Beneq TFS 500 and TFS 200-152 ALD reactors on plastic

gratings for operation as GMRFs and are shown in Fig. 3.7. In addition, TiO2 films

Page 75: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

51

of different thicknesses (60–500 nm) were fabricated on Si substrates to carry out a

complete analysis of the thermo-optic coefficient (dn/dT) and density of these films.

3.6 SPECTROSCOPIC ELLIPSOMETRY

The polarization of a harmonic light wave is, in general, elliptical which means that

the end points of the electric field vector lies on an ellipse during the propagation of

light. An ellipsometer determines the ellipticity of the polarization state of light, the

optical constants of the materials and the thickness of the thin films. Generally

speaking, spectroscopic ellipsometry measurements are illustrated in terms of two

ellipsometric parameters and which are given by the relation [145, 146].

s

pi

R

Re ~

~

tan~ (3.5)

where pR~

and sR~

are p- (electric field vector in the plane of incidence) and s-

polarized (electric field vector perpendicular to the plane of incidence) Pseudo-

Fresnel reflection coefficients given by

2

,12,01

2

,12,01

~~1

~~~

i

pp

i

pp

perr

errR

(3.6)

2

,12,01

2

,12,01

~~1

~~~

i

ss

i

ss

serr

errR

(3.7)

where pp rr ,12,01~,~ and ss rr ,12,01

~,~ are the Fresnel reflection coefficients from air-film and

film-substrate interfaces for p- and s-polarized lights, respectively. Here it is

assumed that that incident light comes from a residual medium air (layer 0) at an

incident angle 0 and reflected from air-film interface and the other part of the light

transmitted through the film (layer 1) reflected from the film-substrate medium. The

optical thickness (phase thickness) of the light is given by [147]

0

22

0

2

1 sin~~2

nnd

(3.8)

Page 76: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

52

where d is film thickness, λ is wavelength and 0 is the angle of incident light, n0

and n1 are the refractive indices of air and film materials, respectively.

Owing to the transverse nature of light, the electric field vector moves along an

elliptic trajectory as shown in Fig. 3.8. At some initial time, the field vector locates

at a position a, with the y-component at maximum and after some other time

(where ω is field frequency), it takes a new position b with the maximum x-

component. The angle between positions a and b is called the relative phase Δ of

the vibrations along x- and y-directions and varies from zero to 2π. The parameter ψ

is defined by YXtan , where X and Y are electric field amplitudes (in p- and s-

directions, respectively) that determine the state of elliptical polarization as shown

in Fig. 3.8.

Figure 3.8: Polarization ellipse representing the polarization of an electric field vector in terms of

ellipsometric parameters ψ and phase shift Δ.

For the dispersion properties of materials, Eq. 3.5 must be satisfied in terms of

measured ψ and Δ values. The spectroscopic scan of a dielectric material over a

Page 77: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

53

wavelength range determines the optical constants by applying appropriate

dispersion models, for example, Cauchy's model [148].

.....,)(42

CBAn (3.9)

where A, B and C are constants, whose numerical values change during an iterative

process giving results closer to actual measured results by minimizing the mean

square error (MSE). So while evaluating the optical constants one needs to give

initial estimates for these constants which then converge to the calculated ψ and Δ

values of corresponding measured values, after executing a number of iterative

operations to minimize the root mean square error (RMSE). Figure 3.9 shows the

ellipsometric measurement configuration when a linearly polarized plane wave

illuminates the sample at an incident angle φ with normal to the sample. After light-

matter interaction, the reflected light is measured by a detector to collect the

information about the material optical constants. Ellipsometry is also used to

measure the polarization state of the transmitted light.

Figure 3.9: Schematic illustration of an ellipsometric system configuration in terms of its optical

components.

In this work the refractive indices of TiO2 films were measured by a variable angle

spectroscopic ellipsometer VASE manufactured by J. A. Woollam Co [149]. In

addition, the ellipsometer was also employed to measure the spectral

Page 78: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

54

reflectance/transmittance of the resonant gratings under the normal or oblique

illumination of a linearly polarized plane wave whose electric field vector is either

parallel (TE) or perpendicular (TM) to the grating lines. The polarization state (TE

or TM) of the illuminated light is selected by a polarizer stage which transformed

the unpolarized light beam into a linearly polarized light beam. The polarization

stage consisted of a polarizer mounted on a high accuracy continuously rotating

stepper motor. The rotating polarizer modulated the intensity of the light. The phase

and amplitude of the modulation represented the polarization state of the beam

entering the analyzer/detector.

Page 79: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

55

Chapter 4: Theoretical Results and

Discussion: Design of Resonant

waveguide grating structures and thin

dielectric films

In this chapter an overview of the design of resonant waveguide grating structures is

presented as athermal dielectric waveguide gratings using various polymer

materials. The demonstration of a non-polarizing waveguide gratings (inorganic and

organic) with the further investigation of an over-etch depth into the inorganic

substrate materials (fused silica) is also presented. The thermo-optic coefficients of

TiO2 films and a combination of TiO2+Al2O3 films have been described to design

with Lorentz-Lorenz relation followed by Cauchy’s model. All of the designs

(grating structures and planar films) are made for dielectric materials (both organic

and inorganic) using Fourier Modal Method (FMM).

4.1 STRUCTURE AND DESIGN OF RESONANT WAVEGUIDE

GRATINGS RWGS

Figure 4.1 illustrates the ideal RWG structure we are aiming at. We first have a

polymer (polycarbonate) substrate with a binary grating profile characterized by

height h, period d and ridge width c so that we can define the fill factor as f = c/d.

This grating is coated by a thin dielectric (TiO2) layer grown by ALD. Owing to the

conformal nature of the ALD process, the thickness t of the high-index layer is

assumed to be the same on all sides of the profile (top and bottom of the grooves as

well as the vertical sidewalls). The superstrate is assumed to be air; the depth of the

final air-filled groove is then h and its width w = d-c-2t is assumed to be greater

than zero. The polymer substrate (with the binary grating profile) has uniform

refractive index ns, and the TiO2 cover layer has a refractive index nc. The RWG is

illuminated from air by a linearly polarized plane wave incident at an angle θi, and

we are primarily interested in the spectral efficiency curve of the specularly

reflected plane wave.

Page 80: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

56

Figure 4.1: Schematics of an ideal replicated grating profile coated by a high index amorphous thin

TiO2 film by ALD process and placed on a heat reservoir for thermal measurement.

By appropriate choices of the parameters d, f, h, t, nc, ns, and θi, we can obtain a

guided-mode resonance with 100% reflectance for either TE- or TM-polarized light

at a desired wavelength λr. In our examples we use FMM to design the RWGs

particularly for TE-polarized illumination at center resonance wavelength λr = 853

nm and angle of incidence θi = 20°. Our material choices fix the refractive indices:

we use the room-temperature values ns = 1.570 from Refs. [150–152] and nc =

2.322 obtained by in-house measurement at λr = 853 nm. In the design, d, f, h, and t

are, therefore, treated as variable parameters.

One possible set of experimentally realizable parameters is d = 425 nm, f = 0.63, h

= 120 nm, and t = 61 nm. Figure 4.2 shows the effect of varying different

parameters around the design values, and the spectral shape of the resonance peak

with the parameters listed above is illustrated explicitly in Fig. 4.3a. Figure 4.2a

shows the specular reflectance R of the RWG (index 1 means 100% reflectance)

when the grating ridge height h and the TiO2 film thickness t are varied, and implies

that an error in one parameter can be compensated by changing the other. Hence, if

one finds that the ridge height of the polymer grating is incorrect, one can still

obtain the resonance at the correct spectral position by adjusting t appropriately in

the ALD process, which is the final fabrication step. Figure 4.2b demonstrates the

Page 81: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

57

possibility of fine tuning the resonance wavelength by adjusting the angle of

incidence, and Fig. 4.2c shows the reflectance when the ridge height h and the fill

factor f are varied. Thus, for example, an increase in pattern height can be

compensated by reducing the fill factor. Finally, Fig. 4.2d illustrates the (rather

tight) tolerances for variations in the refractive indices of the two materials.

Theoretical results for the effect of TiO2 layer thickness on resonance peak position

for TE-mode are shown in Fig. 4.4. An increase in t from 61 nm to 71 nm leads to a

shift of λr from 853 to 871.6 nm when θ = 20°.

Figure 4.2: Fourier Modal analysis of the effects of parameter variations in specular reflectance R.

(a) Variations of ridge height h and TiO2 film thickness t. (b) Variations of wavelength λ and angle

of incidence θi. (c) Variations of ridge height h and fill factor f. (d) Variations of refractive indices ns

and nc of the polycarbonate substrate and TiO2 cover layer, respectively.

Page 82: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

58

Figure 4.3: (a) Spectral variations of the specular reflectance R(λ) with room temperature values of

all design parameters. (b) Calculated spectral reflectance curves at T = 100 °C due to thermal

expansion alone (curve 1-TEC), due to thermo-optic effect alone (curve 2-TOC), and due to

combination of both effects (curve 3-TEC-TOC).

Figure 4.4: (a) Simulated room-temperature spectral variation of the reflectance as a function of TiO2

layer thickness. (b) Spectral lineshapes of RWGs with TiO2 layer thickness t = 61 nm (blue curve 1)

and t = 71 nm (red curve 2).

4.1.1 Simulation and Modeling of thermal behavior

In view of the results shown in Fig. 4.2, the resonance wavelength λr depends

critically on the refractive indices ns and nc of the two materials, which in turn

depend on operating temperature T because of the thermo-optic effect. Furthermore,

λr is affected by modifications of the dimensional parameters of the structure,

caused by thermal expansion of a rectangular/binary grating structure. The latter is a

potentially serious issue since the thermal expansion coefficients of polymers are

known to be nearly ten times larger than those of optical glasses [153]. Complete

modeling of the thermal behavior of RWGs, therefore, requires consideration of

Page 83: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

59

both thermo-optic properties of the materials and thermal expansion of the entire

structure.

For polycarbonate, we use the thermal refractive-index data from Refs. [150,154].

Thermal dependence of the refractive index of the TiO2 films is measured by a

homemade heating assembly directly attached with ellipsometry. In modeling,

thermal expansion was taken into account by assuming that the period d and height

h of the polycarbonate grating expand linearly with temperature T while the fill

factor f remains constant. Also the TiO2 layer thickness t was assumed to increase

with T, making the air gap narrower with increasing temperature. The thermal

expansion coefficient of polycarbonate is taken to be 6.55 × 10-5 °

C-1

[150,153,155],

and we used the value 8 × 10-6

°C-1

[150] of crystalline TiO2 to approximate the

thermal expansion coefficient of amorphous TiO2. The small value of thermal

expansion coefficient of amorphous TiO2 results in an advantage to get reasonable

athermal behavior since the thermal expansion coefficients of polymers is an order

of magnitude larger than inorganic materials. Then direct FMM calculations were

applied to the resulting dimensional parameters and refractive indices at each

temperature, ranging from 25 °C to 100 °C in 5 °C steps.

The results of the FMM calculations for TE-mode are presented in Fig. 4.5, where

we consider thermal expansion affects (TEC) and thermo-optic effects (TOC)

separately, as well as the combined effect. All calculated results can be well

approximated by straight lines, also shown in Fig. 4.5. As shown in Fig. 4.5b, since

the TEC fit slope is positive and that of TOC is negative, the combined effects lead

to a partial athermalization of the device, i.e.,

)C25( TMr (4.1)

with a fit slope M ≈ 0.01 nm/°C. The spectral TEC, TOC, and combined effects are

illustrated more explicitly in Fig. 4.5b, where we consider the highest simulated

temperature T = 100 °C. At this temperature the spectral shifts caused by TEC and

TOC are noticeable but the combined effect only leads to a 0.7 nm shift in the

resonance peak position λr for TE-mode.

We also calculated the temperature dependence for the TM-polarized light and the

resulting shift in the resonance wavelength is about the same as for the TE-

Page 84: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

60

polarized light. Hence, especially over a somewhat more limited temperature range,

the simulation results predict virtually athermal device operation. The thermo-optic

coefficient for polycarbonate is taken as 14 C1007.1 Tns according to

Refs. [150,154] and self-measured values of nc were used to obtain Tnc (see

section 4.4). The values already given were used for thermal expansion coefficients,

i.e., we chose 16 C108

1

T

t

t and

15 C1055.61

T

d

d. Similar calculations

were performed also for RWGs with t = 71 nm (with other parameters kept

unchanged). The results were virtually identical, the main difference being a 0.1 nm

change in the TOC contribution.

Figure 4.5: Effect of temperature (T) change in the spectral shift Δλr of the resonance peak. (a)

Individual TEC and TOC effects of TiO2 and PC. (b) Combined TEC and TOC effects of TiO2 and

PC.

It is interesting to note from Fig. 4.5 that the negative TOC of TiO2 has a significant

role in athermalization, although its magnitude is an order of magnitude smaller

than that of PC. This is explained by a large overlap of the guided mode with the

TiO2 film. There are ways to further reduce Tr , for example, a plastic material

with a smaller thermal expansion coefficient would do this. On the other hand, one

could change the TiO2 layer thickness t, which would have an effect in the thermal

expansion contribution but also on the thermo-optic contribution since thinner TiO2

films tend to have more negative values of the TOC (see Chap. 5). Changing t

would of course require adjustments of the other structural parameters to retain λr at

the original value.

Page 85: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

61

4.1.2 Design of athermal behavior of RWGs using different polymer

substrate materials

In these sections, design of athermal polymer waveguide gratings g-I, g-II, and g-III

are considered by incorporating different polymer materials with different TEC and

TOC values. For such designs, the thermal shifts in the resonance wavelength λr are

calculated over the temperature range 25–100 °C with a temperature step of 5 °C.

The modeling of the effect of TEC and TOC in the physical structure of the RWG

was done in the same way as described in section 4.1.1.

Figure 4.6: (a) Specular reflectance R(λ) at room temperature designed parameter values. (b)

Specular reflectance curves calculated at 100 °C accounting for TEC alone, TOC alone and

combined TEC and TOC. (c) Thermal resonance shift in λr when individual effects of TEC and TOC

of polymer and TiO2 are considered. (d) Thermal shift in λr when the combined effects of TEC and

TOC are considered. The polymer considered here is Polycarbonate (PC).

4.1.2.1 Polycarbonate grating (g-I)

For the design of grating g-I, one possible set of the grating optical

and geometrical parameters at resonance is: period d = 368 nm, linewidth w = 232

nm, structure height h = 120 nm, incident angle θi = 18°. These give a resonance at

Page 86: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

62

wavelength λr = 735.10 nm for TE-mode if we take the refractive indices of PC

(substrate) and ALD coated TiO2 film (cover layer) at this wavelength to be ns =

1.575 and nc = 2.391, respectively [151,156]. The TEC values of PC and TiO2 are

6.65 × 10-5

°C-1

and 8.00 × 10-6

°C-1

, while the TOC values of PC and TiO2 are -

1.07 × 10-4

°C-1

and -9.3 × 10-5

°C-1

, respectively [153,154,156].

The calculated room-temperature reflectance spectrum around the peak resonance

wavelength, shown in Fig. 4.6a, has a full width at half maximum (FWHM) of 13

nm. Let us next consider the operation of the RWG at a temperature of 100 °C.

Taking into account the TEC of PC and TiO2 alone shifts the resonance peak to a

longer wavelengths λr = 738.3 nm as shown in Fig.4.6b. On the other hand,

considering only the TOCs this shifts the resonance to λr = 732.8 nm. The

combination of these two spectral shifts in opposite directions leads to a partial

athermalization of the device, depicted by the middle reflectance curve with a

resonance peak at λr = 735.9 nm in Fig. 4.6b. Furthermore, calculations to illustrate

the contribution of each selected material coefficient to the spectral shift are shown

in Fig. 4.6c. The combined effect of TEC and TOC exhibits a net positive slope of

0.0103 with a corresponding spectral redshift of 0.8 nm in the entire temperature

interval, as demonstrated in Fig. 4.6d.

4.1.2.2 Cyclic Olefin Copolymer grating (g-II)

In the design of g-II, we employed a parameter set d = 325 nm, w =

205 nm, h = 120 nm, and θi = 18°; with the TE-mode at λr = 657.80 nm. The room-

temperature refractive indices of COC and ALD coated TiO2 film at λr = 630 nm,

i.e., ns = 1.530 and nc = 2.395, were used [156,157]. The TEC values of COC and

TiO2 are 6.0 × 10-5

°C-1

and 9 × 10-6

°C-1

, while the TOC values are -1.0 × 10-4

°C-1

and -9.3 × 10-5

°C-1

, respectively [153,156,157].

The calculated room-temperature reflectance spectrum around the resonance has a

FWHM of 18.5 nm, as shown in Fig. 4.7a. The spectral shifts in the resonance peak,

by taking into account only the TEC or TOC of COC and TiO2 individually at T =

100 °C, are shown in Fig. 4.7b. Again the shifts are towards longer and shorter

wavelengths, and we have λr = 660.2 nm in case of TEC only, and λr = 655.8 nm in

case of TOC only. The combination of the two spectral shifts still leads to partial

Page 87: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

63

athermalization of the device, with the resonance peak at 658.2 nm in Fig. 4.7b. The

calculations performed to illustrate the contribution of each selected material

coefficient are shown in Fig. 4.7c and the combined results in Fig. 4.7d. The

combined results now show a net positive slope of 0.0062 with a corresponding

spectral shift of 0.4 nm towards longer wavelengths, which is smaller by a factor of

~2 than in the case of PC.

Figure 4.7: (a) Specular reflectance R(λ) at room temperature designed parameter values. (b)

Specular reflectance curves calculated at 100 °C accounting for TEC alone, TOC alone and

combined TEC and TOC. (c) Thermal resonance shift in λr when individual effects of TEC and TOC

of polymer and TiO2 are considered. (d) Thermal shift in λr when the combined effects of TEC and

TOC are considered. The polymer considered here is Cyclic-olefin-Copolymer (COC).

4.1.2.3 UV-curable material Ormocomp® grating (g-III)

The design of g-III was based on the parameter set d = 325 nm, w =

205 nm, h = 120 nm, θi = 18°, and λr = 655.40 nm with the TE-mode. The refractive

indices of Ormocomp® and TiO2 at λr = 630 nm are ns = 1.511 and nc = 2.395,

Page 88: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

64

respectively [156,158], the TEC of Ormocomp and TiO2 are 6.0 × 10-5

°C-1

and 8 ×

10-6

°C-1

, and finally the TOC of Ormocomp® and TiO2 are -1.27 × 10-4

°C-1

and -

9.3 × 10-5

°C-1

(both are measured in-house), respectively [153,156,158].

Figure 4.8: (a) Specular reflectance R(λ) at room temperature designed parameter values. (b)

Specular reflectance curves calculated at 100 °C accounting for TEC alone, TOC alone and

combined TEC and TOC. (c) Thermal resonance shift in λr when individual effects of TEC and TOC

of polymer and TiO2 are considered. (d) Thermal shift in λr when the combined effects of TEC and

TOC are considered. The polymer considered here is UV-curable OrmoComp®.

For g-III the FWHM width of the resonance peak is 19.4 nm as shown in Fig. 4.8a.

The overall effects of individual contributions of TEC and TOC, as well as the

combined effect, are qualitatively similar to the observations made above for PC

and COC. In case of Ormocomp® substrate the TEC-induced resonance position is

λr = 657.8 nm, TOC alone would shift the resonance to λr = 653.2 nm, and the

combination of both gives λr = 655.6 nm as shown in Fig. 4.8b. Now the combined

net slope is 0.0023 with a corresponding spectral red shift of only 0.2 nm as shown

in Fig.4.8d, which is about one half of the value observed for COC and a quarter of

the shift observed for a PC substrate.

Page 89: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

65

As far as the design results are concerned, the use of Ormocomp® yields almost

superior performance amongst the three polymers under consideration, and nearly

athermal operation. When comparing the characteristics slopes of the net effects,

Ormocomp® shows the lowest slope for the thermal spectral shift by employing the

TOC measured experimentally as described in section 4.4.1 (however, the use of

TOC calculated from analytical relations shows the same net effect as for COC).

Figure 4.9: Schematic of the (a) type-I, single layer and (b,c) Type-II, double layer 1D non-

polarizing RWGs.

4.2 STRUCTURE AND DESIGN OF POLARIZATION

INDEPENDENT RESONANT WAVEGUIDE GRATINGS

We investigated two types of RWGs that are schematically depicted in Fig. 4.9. The

Type-I RWG consists of a rectangular-profile TiO2 grating layer on a fused silica

substrate. This design aims to verify the non-polarizing filtering effect with the

simplest single-layer 1D GMR grating geometry, which has not been realized

before. The Type-II grating is a TiO2-coated grating with the polycarbonate

substrate replicated from a rectangular-profile master grating stamp by

nanoimprinting [61]. It aims to demonstrate the replicability of the 1D non-

Page 90: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

66

polarizing GMR grating. Without loss of generality, both gratings are designed to

work around a resonance wavelength (λr) of 850 nm under normal incidence. The

gratings at oblique incidence can also be designed easily by the same method. The

electric field vector of the incident field may be parallel (TE) or perpendicular (TM)

to the grating lines.

The optical responses of the RWGs are simulated rigorously with the Fourier Modal

Method [89–91]. The refractive index of the ALD-prepared TiO2 film, which is

sensitive to the deposition method, was measured by ellipsometry and fitted with

the Sellmeier formula:

,1)(2

22

B

An

(4.2)

where A=4.316, B=3.846 × 104 nm

2, and λ is the wavelength in vacuum (in nm).

The refractive indices of the fused silica substrate and polycarbonate are relatively

stable and less dispersive, which were taken as 1.45 and 1.57 in our simulation,

respectively. The small dispersion of TiO2 was taken into account in our

simulations, although its effect on resonance positions is marginal.

The RWGs, for example, Giant reflection to zero order (GIRO) mirrors, are based

on the principle of interference of two propagating modes in the grating region for

both polarizations TE and TM [159]. The resulting outcoupling wave depends on

the phase between the two interfering waves [160]. In the design of non-polarizing

RWGs, an adjustment of the structural parameters can result in a fine tuning of the

dispersion relations of TE and TM excited leaky guided modes in the grating layer.

As a result, there exist a situation where both polarizations have the same

propagation constant at the cross point of the dispersion curves of TE- and TM-

modes at normal incidence [36].

In this design, subwavelength (d < λ) grating structures are employed to allow only

the propagation of zeroth transmitted diffraction order at the resonance. Since the

resonance wavelength λres is related to the grating period d, one can achieve RWG

effect at any desired wavelength by choosing a suitable period d < λres [36]. Thus

the non-polarizing effect is achieved by optimizing the other structural parameters,

by which to engineer the dispersion relations of TE and TM leaky guided modes so

Page 91: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

67

that the simultaneous excitation of both can be realized under normal incidence. By

applying the optimization procedure as described in [36] and taking into account the

feasibility of fabrication, we obtained the optimal structural parameters for the

designed RWGs: for the Type-I grating, d = 540 nm, w = 395 nm, h = 195 nm and

θi = 90°. Figure 4.10 shows the simulation at maximum (100 %) diffraction

efficiency in reflection for both TE- and TM-modes in terms of the grating

dimensional parameters linewidth w and structure height h. For such gratings the

non-polarizing geometrical parameters are predicted at the point of intersection of

TE- and TM-Modes, shown in Fig. 4.11a and corresponding reflectance spectra at λr

= 850 nm is shown in Fig. 4.11b.

Figure 4.10: Spectral reflectance at the resonance wavelength λr at designed linewidth w and

structure height h of grating-I (a) TE-Mode (b) TM-Mode.

Figure 4.11: Maximum spectral reflectance at the resonance wavelength λr = 850 nm (a) Linewidth w

and structure height h are evaluated at the point of intersection of both TE- and TM-Modes (b) TE-

and TM-reflectance spectra at predicted values of w and h.

Page 92: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

68

For the Type-II grating, d = 540 nm, w = 200 nm, h = 145 nm, and t = 60 nm and θi

= 90°. Figure 4.12 shows the simulation at maximum (100 %) diffraction efficiency

in reflection for both TE- and TM-modes in terms of the grating dimensional

parameters linewidth w and structure height h. For such gratings the non-polarizing

geometrical parameters are predicted at the point of intersection of TE- and TM-

Modes (not shown here) and reflectance spectra of the zeroth orders of RWGs at λr

= 850 nm is shown in Fig. 4.13.

Figure 4.12: Spectral reflectance at the resonance wavelength λr at designed linewidth w = 200 nm

and structure height h = 145 nm of grating-II for (a) TE-Mode (b) TM-Mode.

Polarization tunable operation is obtained by coupling of s- or p-input polarized

field components into either TE- or TM-modes. This is achieved by optimizing the

grating components shown in Fig. 4.12, which results in a high reflectance for both

modes at resonance wavelength, irrespective of the input polarization state. For

resonance to occur, the wavelength is always longer than the corresponding

Rayleigh anomaly [29].

m

ndRAr (4.3)

,nm540 dn

r (4.4)

where λr = 850 nm is the resonance wavelength, n is the refractive index of the

substrate (1.57), m is the diffraction order and d = 540 nm is the grating period.

Page 93: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

69

Figure 4.13: Maximum spectral reflectance at the resonance wavelength λr = 850 nm for TE- and

TM-modes at predicted values of w and h. The full width at half maximum (FWHM) values for TE =

19.2 nm and TM = 6.1 nm

Figure 4.14: Maximum spectral reflectance in terms of incident angle θi and wavelength λ for both

(a) TE- and (b) TM-Modes.

Figure 4.13 shows the simultaneous excitation of both TE and TM reflectance

spectral peaks at normal incidence. We investigated the non-polarizing filtering

phenomena for TE- and TM-waveguide modes in terms of dispersion relations. The

reflectance R is plotted as a function of wavelength of incident light λ and incident

angle θi. At resonance, the wave vector of the incident plane wave kx matches with

Page 94: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

70

the propagation constant of the leaky guided mode γ through the Eq. (2.63). This is

shown in Fig. 4.14 as a dispersion-curve-plot, where

idP

sin2 (4.5)

Figure 4.14 demonstrates that at normal incidence (θi = 0), resonance phenomena

occurs at λr = 850 nm for both the TE- and TM-Modes of RWGs.

Figure 4.15: Schematic illustration of a TiO2 RWG. (a) Etched to the surface of substrate SiO2 and

(b) Overetched into substrate SiO2.

4.3 EFFECT OF SUBSTRATE OVERETCHING AND HEAT

TREATMENT ON NON-POLARIZING PROPERTIES OF TiO2

RWGS AND THIN FILMS ON THEIR OPTICAL PROPERTIES

. TiO2 RWGs are of very high importance due to the high refractive index and

transparency in the visible and infrared regions. The variations in the thickness of

the waveguide play a significant role, which may result in a change of the nature of

the waveguide modes. To cover all of these aspects, TiO2 RWGs are fabricated by

ALD method to give a precise waveguide thickness control [112]. An important

step in the fabrication of the subwavelength RWG structures is the reactive ion

etching (RIE) or the inductively coupled plasma etching by using various etchants.

Some studies have reported that thin dielectric films prepared by ALD inherently

possess high hardness and chemical inertness, which enable them to be used as

etching masks [161]. Therefore, the selection of the etchant with high etch

Page 95: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

71

selectivity of TiO2 over the mask (a resist or a metal such as Cr) is an important

aspect for the fabrication of TiO2 RWGs. In general, the high etch selectivity of

TiO2 over the mask can also influence the substrate layer underneath by etching it.

The subsequent etching of the underlying fused silica substrate (SiO2) is undesired,

as it can change the propagation constants of the TE (field is parallel to the grating

lines) and TM (field is perpendicular to the grating lines) modes of the waveguide

grating.

Figure 4.16: Simulation results of specular reflectance showing the variation in the resonance

wavelength λr with the increase in overetch depth hs in the fused silica substrate. (a) TE-Mode with

amorphous TiO2 (b) TM-Mode with amorphous TiO2 (c) Both the TE- and TM-Modes and their

effect on the nonpolarizing property of amorphous TiO2 waveguide gratings and (d) both the TE-

and TM-Modes and their effect on the nonpolarizing property of crystalline (anatase) TiO2 gratings.

In this section different over-etch depths of the substrate (SiO2) are investigated

theoretically by varying the etching times of the etchant in TiO2 waveguide

gratings. The schematics of TiO2 waveguide gratings etched to and into the

substrate surface are shown in Figs. 4.15a and b. The shift in the resonant

Page 96: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

72

wavelength position of both the TE- and TM-modes is studied with an amorphous

phase of the TiO2 films, and then the TiO2 waveguide gratings are heat treated to

change the TiO2 phase (also refractive index) to investigate its effect on the relative

resonance wavelength peak position shift. Furthermore, planar TiO2 films of the

same thicknesses are prepared by ALD in amorphous and crystalline forms and

characterized structurally and optically to investigate their phases and the refractive

indices after a heat treatment process (see Chap. 5).

4.3.1 Design parameters of TiO2 RWGs on SiO2 substrate

The schematics of an ideal RWG under study is shown in Fig. 4.15. The grating

consists of a binary profile in a TiO2 layer of thickness t on a fused silica substrate,

i. e., the grating height hc is equal to the TiO2 thickness t. The superstrate is

assumed to be air with a refractive index na = 1, and the refractive indices of

amorphous TiO2, crystalline (anatase) TiO2 and fused silica are nc = 2.32, 2.43 and

ns = 1.45, respectively. The waveguide grating is illuminated by a linearly polarized

plane wave at an angle θi with the normal to the grating and a specularly

reflected/transmitted plane wave has an angle θ0. The demonstrated waveguide

gratings are designed to work around a resonance wavelength λr = 850 nm at normal

incidence. Gratings operating at oblique incidence can be designed and fabricated

by the same approach. In this design section all the rigorous calculations and

simulations are performed with the Fourier Modal Method [89–91] and grating

parameters are similar to the ones described in section 4.2 for g-I.

4.3.2 Substrate overetching effect on splitting of TE- and TE-Modes

In section 4.2, Figs. 4.10 and 4.11show the simulation results of the optimized

parameters of the designed non-polarizing gratings (g-I) for specular

transmittance/reflectance. In this section we describe the splitting of the degeneracy

of the TE- and TM-modes of the aforementioned RWG structure by calculating and

simulating the effect of an over-etch depth into the fused silica substrate. Note that

an accurate etching of TiO2 layer to the surface of fused silica (substrate) is

challenging achieve to perfection.

Page 97: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

73

The effect of over-etching in the fused silica substrate on the non-polarizing

properties of a TiO2 RWG is shown in Fig. 4.16. Figure 4.16a shows the effect of a

variation in hs on the resonance wavelength for the TE-mode. It can be seen that the

rate of change in the resonance wavelength is large in the region of small over-

etching and decreases as the hs depth increases, and the λr vs. hs obeys a parabolic

fit. The change of the resonance wavelength for the TM-mode is relatively smaller

than for the TE-mode as seen in Fig. 4.16b, λr changes linearly with hs. Figure 4.16c

shows the difference of λr between the two propagating modes (TE and TM) with

the overetch depth, illustrating that the dispersion curves for simultaneous

excitation in amorphous TiO2 waveguide gratings are split.

Figure 4.17: Simulation results of specular reflectance in terms of ridge height hc and linewidth w of

nonpolarizing RWGs with period d = 540 nm, linewidth w = 401.5 nm and the structure height hc =

~199 nm, showing the propagation mode splitting with an overetch depth hs = 50 nm into fused silica

substrate. (a) TE-Mode and (b) TM-Mode.

The simulation results also show that the non-polarizing properties are not retrieved

at the same optimized parameters if the phase of the TiO2 material is changed from

amorphous (nc = 2.32) to crystalline (nc = 2.43). Figure 4.16d shows the dispersion

curves of the two propagating modes in a crystalline TiO2 waveguide gratings, i. e.,

the shift in the resonance wavelength as a function of the overetch depth. Although

Figs. 4.16c and 4.16d show similar behavior in the magnitudes of the changes in

individual TE- and TM-dispersion curves, the non-polarizing properties are affected

due to a change of the index of the waveguide layer. Figure 4.17 shows the effect of

an overetch depth hs of 50 nm into the fused silica substrate on the propagation

Page 98: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

74

mode splitting of TE- and TM-modes for a non-polarizing RWG. It is evident from

the Fig. 4.17a (representing TE-mode) that, due to an overetch effect to the

substrate material, the deviation from the non-polarizing property is relatively larger

than that of a TM-mode shown in Fig. 4.17b.

Figure 4.18: Measured and fitted ellipsometric data of amorphous and crystalline (anatase) TiO2

films of thicknesses ~200 nm. (a) ψ, (b) Δ of amorphous films, (c) ψ, and (d) Δ of crystalline films.

4.3.3 Refractive index modeling of amorphous and crystalline TiO2 films

The refractive index data of amorphous and crystalline TiO2 thin films of thickness

~200 nm on a fused silica substrate is measured by ellipsometry. The refractive

index n(λ) data from the ellipsometric measurements are retrieved by applying

Wvase software based on the Cauchy model for a two layer planar structure. The

ellipsometric measurements and the model fitting data are expressed in the

ellipsometric parameters ψ and Δ defined by the Eq. (3.5). Figures 4.18a, 4.18b and

Page 99: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

75

4.18c, 4.18d show the quality of experimentally predicted and modeled data of

amorphous and crystalline TiO2 thin films in terms of parameters ψ and Δ.

Figure 4.19: Simulated spectral shifts in the central resonance wavelength λr of specular reflectance

at normal incidence with a change in refractive index of TiO2 material, before and after a phase

change of TiO2. The RWG parameters are defined by a period d = 540 nm, a linewidth w = 401.5

nm, and a structure height hc = ~199 nm. (a) TE-Mode and (b) TM-Mode.

The influence of the phase change of the TiO2 material on the spectral positions of

the center resonance wavelength is simulated as a function of refracted index nc(λ)

for both the TE- and TM-modes and the results are shown in Figs. 4.19a and 4.19b.

Here again the variation in the TE-mode is larger than that in the TM-mode and the

center resonance wavelength shifts towards longer wavelengths due to the increase

of the refractive index of TiO2.

4.4 THERMO-OPTIC COEFFICIENT (TOC) OF ORGANIC AND

INORGANIC OPTICAL MATERIALS

Thermo-optic coefficient (dn/dT) is defined as the rate of change of refractive index

of a material with respect to temperature. If index is increasing with increase in

temperature then TOC is said to have a positive value and if index decreases with

increase in temperature, the material possesses negative TOC. Most of the organic

materials (polymers) have negative TOC due to evaporation of solvent content that

may be exploited for various applications in waveguides, for example, athermal

devices that have been described with a significant effect on the spectral behavior

and performance of RWGs [120]. Analogously, TOC of high index inorganic

Page 100: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

76

materials vary rapidly and thus influence the resonance peak position of the filtering

device [162]. Thus TOC and thermal expansion coefficient (TEC) of polymer

materials play a vital role in improving the thermal stability [60,120].

The selection of optical polymer materials based on large TEC (approximately 10

times larger than in inorganic materials) are found to enable partial balancing of the

thermal shifts caused by TOCs. In order to analyze TOC of organic and inorganic

dielectric materials, the refractive index is given by the Lorentz-Lorenz equation

[163].

,32

1

0

2

2

M

N

n

n A

(4.6)

where NA is Avogadro's number, ε0 is the permittivity of vacuum, α is molecular

polarizability, M is molecular weight and ρ is the density of the material. In a

homogeneous, single phase material, the density is proportional to refractive index,

which however can be anisotropic due to the polarizability caused by the molecular

chain orientation and residual stresses.

Table 4.1: Thermo-optic and volumetric thermal expansion coefficients of polymers in a glassy state,

dn/dT and γ, respectively.

Polymer dn/dT × 10-4

°C-1

γ × 10-4

°C-1

SAN -1.10 1.95

COC -1.00 1.80

Epoxy -1.00 1.70

PMMA -1.10 2.20

PEMA -1.10 1.90

t B-PEEK -1.00 1.70

Polycarbonate -1.07 1.95

Polystyrene -1.40 2.40

Sol-gel acrylate polymers -2.20 3.40

Sol-gel with diphenysilane -2.30 3.90

In this section we investigate the TOC of optical materials (organic and inorganic)

in narrowband replicated guided mode resonance filters [60–64,112] in terms of

Page 101: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

77

thermal spectral shifts as described in section 4.1. In section 4.1 we considered

three polymer materials (polycarbonate, cyclic olefin copolymer, Ormocomp®)

where TEC and TOC of most of the polymers were obtained from the literature,

however, due to non-availability of TOC of Ormocomp®, we need to measure and

compute it by three different approaches, see our recent publication [120].

4.4.1 Analysis and Computation of thermo-optic coefficient of Ormocomp®

For the measurement of the TOC of Ormocomp®, a thin film of an average

thickness of 290 nm was prepared after uv-curing of liquid phase precursor material

that was obtained from Micro resist technology®. For this purpose, two fused silica

circular shaped samples of 2˝ diameter and 0.5 mm thickness were surface treated

with anti-adhesive silane layers using a silanation solution composed of a mixture

of HFE 7100 Engineering solvent with 0.2 % of trimethylhydroxysilane (TMS) in

nitrogen environment.

A small amount of liquid Ormocomp® was spread on the top of a glass surface and

sandwiched between two glass substrates with a small force on the top glass

substrate to spread the liquid evenly. After uniform spreading, the sandwich

structure was placed in a uv chamber SpectrolinkerTM

XL-1000 UV-crosslinker

from Spectronics Corporation under a constant exposure of ultraviolet radiation at

365 nm wavelength for 1 min. Then the solidified material was demolded from the

glass substrate and again placed in uv chamber to consolidate the material further

for 10 min under uv exposure at 365 nm wavelength.

4.4.1.1 Method 1

The thermo-optic coefficient of Ormocomp® is determined with slight

modifications in the method reported by Zhang et al. [164]. In this approach, the

TOCs and TECs of a number of polymers [19,154,157,158] in glassy states, given

in Table 4.1 are plotted to approximate a linear fit as shown in Fig. 4.20. After

plotting and applying the least square fitting, the equation of the linear fit in terms

of plotted parameters is given as,

,1062.0d

d 5 T

n (4.7)

Page 102: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

78

where -0.62 is the slope and 10-5

°C-1

is the dn/dT-intercept of the line. By

substituting the volumetric TEC value of Ormocomp®, i.e., 1.8 × 10-4

°C-1

[158] in

Eq. (4.7), the calculated dn/dT value is -1.02 × 10-4

°C-1

.

Figure 4.20: Linear fit approximation between thermo-optic and volume thermal expansion

coefficients of different polymers in glassy state.

4.4.1.2 Method 2

Method 2 is based on the measured refractive indices of Ormocomp® at different

temperatures (20–120 °C with a temperature interval of 10 °C in the wavelength

range 380–1000 nm. The room temperature measured data after applying the

Cauchy model is shown in Fig. 4.21a. We considered a set of index data points at

different temperatures (at a wavelength of 630 nm), which are plotted as (n2 + 2)/(n

2

- 1) as a function of T and fitted by the least squares method in the form of a

quadratic curve, as shown in Fig. 4.21b. The thermo-optic coefficient (dn/dT) is

evaluated from fit equation given below

,35.31085.11051.61

2 426

2

2

TTn

n (4.8)

where the temperature T is expressed in °C and the coefficients of T2 and T in units

°C-2

and °C-1

, respectively. Differentiation of Eq. (4.8) with respect to T gives

Page 103: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

79

),1085.11030.1(6

)1(

d

d 4522

Tn

n

T

n (4.9)

where the coefficient of T and the constant are expressed in units °C-2

and °C-1

,

respectively. The use of Eq. (4.9) leads to an average thermo-optic coefficient dn/dT

= -1.27 × 10-4

°C-1

.

Figure 4.21: Measured refractive index of Ormocomp®. (a) Room temperature measurement and

fitted data of n(λ). (b) Temperature dependent measurement and fitted data of n(T) at a wavelength

of 630 nm.

4.4.1.3 Method 3

Method 3 consists of a combination of both experimental and theoretical

approaches. The TOC of a polymer material can be expressed as combinational

effects of density and temperature [165]

T

n

T

n

T

n

δ

δ

δ

δ

δ

d

d (4.10)

or

δ

δ

δ

d

d

T

nnρ

T

n

T

(4.11)

where (ρδn/δρ)T and (δn/δT)ρ are the parts of the TOC influenced by density and

temperature changes, respectively, and γ is the volumetric TEC of Ormocomp®.

One can express the value of (ρδn/δρ)T in terms of the strain polarizability constant

Page 104: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

80

Λ0 due to the effect of density changes on the atomic polarizability of polymer

[165], after simplifying Eq. (4.11):

,6

)1)(2()1(

δ

δ 22

0n

nnnρ

T

(4.12)

The value of strain polarizability constant is usually small for polymer materials

(0.15–0.18). The use of constant Λ0 and n at different constant temperatures in Eq.

(4.12) gives values of (ρδn/δρ)T at different constant temperatures. Substitution of

these values in Eq. (4.11), as well as the values of γ and (δn/δT)ρ given by Eq. (4.7)

(method 1), leads to an average value of TOC of Ormocomp® dn/dT = -0.81 × 10-4

°C-1

.

The TOC values calculated by methods 1 and 3 are relatively close to each other in

comparison to the one evaluated by method 2. The methods 1 and 3 are based on

analytical relations [164] while the method 2 describes the TOC through

experimentally measured data. In polymers, the value of TOC depends strongly on

the proportion of voids (density) in the material during uv-curing and thus shows

relatively larger values than predicted directly from analytical relations. On heating,

the slightly higher value of TOC (method 2) may be attributed to relatively larger

expansions than expected due to the proportion of voids being filled initially by the

solvent and strain shrinkage on consolidation during uv-curing [166]. The use of

Eq. (4.11) in method 3 describes that the first term includes density variations at

different constant temperatures. It is significant due to relatively higher TEC of

polymer (~10-4

°C-1

) in comparison to the second term at constant density, which is

directly obtained from the method 1 (~10-5

°C-1

). This explains the need to account

for density variations in, for example, analytical relations to explain the TOC.

4.4.2 Analysis and Computation of thermal properties of TiO2 films grown

by ALD

The use of Atomic Layer Deposition (ALD) shows promise for low cost and large

scale production of TiO2 films with high optical quality. ALD is a unique thin film

deposition method based on saturative surface reactions of alternatively supplied

precursor vapors [131]. Due to the saturative nature of each reaction step, the film

Page 105: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

81

growth is self-limiting, providing several practical advantages compared to other

deposition techniques: atomic level control of film composition and thickness,

uniform coverage of corrugated surface profiles or smooth planar surfaces with

large area uniformity.

Figure 4.22: Optical design structure of a thin TiO2 film grown by ALD on a silicon substrate and

the geometry of the illumination in ellipsometric measurements.

The refractive index of TiO2 films deposited by different techniques has been found

to correlate well with the density of the material [167]. Importantly, the films

produced by the same technique but under different growth conditions exhibit

different density and refractive index due to phase changes in their microstructure

[168,169]. The density of the deposited TiO2 films is nearly linearly proportional to

the corresponding mechanical properties (Young's modulus) [170] and refractive

index [171]. For amorphous TiO2 films the density changes at different

temperatures are not caused by the material undergoing a phase change rather these

density changes are attributed to the varying concentration of hydrogen containing

species (H2O, OH, etc.), which adsorb in the voids or residual oxygen vacancies

formed during film growth [167,172].

The refractive index of TiO2 films deposited by different techniques shows a

negative thermo-optic coefficient dn/dT, i.e., the value of refractive index decreases

as temperature increases. The microstructure of TiO2 thin films depends on the

deposition technique and the nature of the substrate. The film properties show

variations in density (porosity), mechanical properties, refractive index, extinction

Page 106: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

82

coefficient, etc. For example, thermo-optic coefficients of TiO2 films deposited by

plasma enhanced chemical vapor deposition [173] and electron beam evaporation

deposition [174] exhibit different magnitudes due to the nature of the technique

being employed. In this section we report on thermo-optic coefficients and

temperature dependent density coefficients of TiO2 films grown by ALD. We

deposited amorphous TiO2 films with different thicknesses under the same growth

conditions and measured their temperature-dependent refractive indices over a

broad wavelength range 380 nm < λ < 1800 nm. The coefficients dn/dT and dρ/dT

are evaluated from the experimental results by modeling with the Lorentz-Lorenz

relation.

Figure 4.23: Ellipsometric data of ALD TiO2 films: measured spectral dependence of the

ellipsometric parameters (a) ψ and (b) Δ together with Cauchy model fits for a film of thickness t =

60 nm.

4.4.2.1 Determination of Refractive Index

We applied Wvase 32 software based on the Cauchy model to the three-layer

structure illustrated in Fig. 4.22 to retrieve the refractive-index data n(λ,T) from

measurements of the ellipsometric parameters ψ and Δ. It is worth noting that

inclusion of the oxide and surface-roughness layers in Fig. 4.22 was beneficial for

obtaining a satisfactory fit for the refractive index of the TiO2 film over the entire

wavelength range considered; the exact thicknesses of these nanoscale layers was,

however, not critical. The results shown in Figs. 4.23a and b illustrate the quality of

the Cauchy-model fits, while Fig. 4.24 illustrates the retrieved refractive-index data

for a film of thickness t = 60 nm at several different temperatures.

Page 107: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

83

Figure 4.24: Ellipsometric refractive index data n(λ,T) of TiO2 film of thickness t = 60 nm at various

temperatures.

In the scale of Fig. 4.24, the curves at different temperatures appear hard to

distinguish. However, even small variations of refractive index due to

environmental conditions can deteriorate the performance of sensitive optical

devices or, on the other hand, may be employed to advantage in sensor applications.

Before proceeding to further analyze the thermal effects, we point out that the

refractive indices of the TiO2 films fabricated by ALD are comparable to films

fabricated by RF-magnetron sputtering [175], but they are considerably larger than

the refractive indices of films fabricated by, e.g., evaporation techniques [46]. The

refractive index data obtained from the Cauchy model can be further analyzed to

evaluate the thermo-optic coefficient and the density of the TiO2 films. To

accomplish this task, we may rewrite Eq. (4.6), the Lorentz-Lorenz relation in CGS

system [163].

,3

4

2

12

2

M

N

n

n Ae

(4.13)

where eTnn ),,( is the electronic polarizability in units of cm3, ρ is the density

in units g cm-3

, NA = 6.023 × 1023

electrons/mol is the Avogadro number, and M =

Page 108: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

84

79.9 g/mol is the molecular weight of the TiO2 molecule. The electronic

polarizabilities of Ti+4

, O-2

and the TiO2 molecule are 0.19 × 10-24

cm3, 2.4 × 10

-24

cm3 and 5 × 10

-24 cm

3, respectively [163]. The electronic polarizability αe connects

the dipole moment p to the applied electric field E by the relation p = αe E [176],

which applies to non-polar molecules at high frequencies so that permanent dipole

moments do not follow the electric field.

Figure 4.25: Experimental and fitted data on TiO2 films of thickness t = 60 nm. (a) Index variation

n(λ,T) and (b) density variation ρ(λ,T) at λ = 640 nm.

To model the thermal properties of the refractive indices of TiO2 films, the left-

hand-side of eq. (4.13) is calculated for temperatures 25–155 °C (interval of 10 °C)

across a wavelength range 380–1800 nm for all TiO2 films that were fabricated and

characterized at a wavelength step of 20 nm. As illustrated in Fig. 4.25a for the case

t = 60 nm at λ = 640 nm, the data points follow well a parabolic curve with a least-

squares fit

,6.1108.1108.51

2 528

2

2

TTn

n (4.14)

where the temperature T is expressed in °C and the coefficients of T2 and T have

units °C-2

and °C-1

, respectively. Differentiation of Eq. (4.14) with respect to T

gives

).108.1106.11(6

)1(

d

d 5822

Tn

n

T

n (4.15)

Page 109: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

85

Here, the coefficients of T and T0 have units °C

-2 and °C

-1, respectively. Application

of Eq. (4.15) leads to a thermo-optic coefficient dn/dT = -3.1 × 10-5

°C-1

at room

temperature (T = 25 °C) while dn/dT = -4.5 × 10-5

°C-1

at T = 105 °C.

4.4.2.2 Determination of film density

It has been reported that the refractive index of TiO2 films is linearly proportional to

the density of the material [177]. We calculate the temperature-dependent density

ρ(T) of the TiO2 films from refractive-index values n(T) using eq. (4.13). The

results for film thickness t = 60 nm at λ = 640 nm, plotted in Fig. 4.25b, possess a

good parabolic fit

8.3101.4104.1 527 TT (4.16)

where ρ is expressed in units g cm-3

and the coefficients of T2, T, and T

0 have units

°C-2

g cm-3

, °C-1

g cm-3

and g cm-3

, respectively. Differentiation of Eq. (4.16) with

respect to T leads to

,101.4108.2d 57 TTd

(4.17)

where dρ/dT is expressed in units °C-1

g cm-3

and the coefficients of T and T0 have

units °C-2

g cm-3

and °C-1

g cm-3

, respectively. At room temperature Eq. (4.17)

gives dρ/dT = -4.8 × 10-5

°C-1

g cm-3

, and at T = 105 °C we have dρ/dT = -7.0 × 10-5

°C-1

g cm-3

.

To calculate the temperature dependence of the electronic polarizability dαe/dT, we

differentiate Eq. (4.13) with respect to T:

.d

d

d

d)2(

9

2

d

d 22

TTn

n

m

N

T

n ee

A

(4.18)

Using to the thermal coefficients dn/dT and dρ/dT from Eqs. (4.15) and (4.17),

respectively, in Eq. (4.18) leads to dαe/dT = -1.4 × 10-31

°C-1

cm3. This gradient is

insignificant compared to the density gradient dρ/dT and hence we may conclude

that the latter gives the main contribution to index changes at least at the high

optical frequencies in the visible region.

Page 110: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

86

Table 4.2: Measured temperature-dependent refractive index n and calculated density ρ of an ALD-

grown TiO2 film of thickness t = 60 nm at λ = 640 nm.

Temperature T [°C] Refractive Index

of TiO2 film n

Density of TiO2

film ρ [g cm-3

]

25 2.3705 3.8400

35 2.3702 3.8396

45 2.3698 3.8389

55 2.3695 3.8385

65 2.3691 3.8379

75 2.3686 3.8371

85 2.3684 3.8368

95 2.3681 3.8363

105 2.3670 3.8346

115 2.3672 3.8349

125 2.3665 3.8338

135 2.3664 3.8337

145 2.3653 3.8319

155 2.3650 3.8315

Table 4.2 shows the experimentally measured temperature dependence of the

refractive index and the density of an ALD-grown TiO2 film of thickness t = 60 nm

at wavelength λ = 640 nm. The decrease of the refractive index with temperature

corresponds to an average value of dn/dT = -4.2 ± 0.7 × 10-5

C-1

, which is of the

same order of magnitude as reported in Ref. [173] but an order of magnitude

smaller than reported in Ref. [174]. This reflects the dependence of the thermo-optic

coefficient on the deposition technique and the substrate material. The packing

density of TiO2 films is an important parameter contributing to the index values:

denser films fabricated by ALD have a lower index gradient than films with higher

porosity, fabricated by sputtering or vacuum evaporation. This effect has already

been interpreted as resulting from the presence of hydrogenated species in the pores

of TiO2 films: heating causes replacement of water in the pores with air [178]. Due

Page 111: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

87

to the atomic-level deposition nature of ALD, the resulting films inherently exhibit

high density (thus being less porous) and therefore, the index gradient is relatively

small. The density values given in the third column of Table 4.2 are slightly above

the highest values obtained by the ion plating method in Ref. [170].

Figure 4.26: Experimental data of ALD-Al2O3 films: spectral dependence of the measured

ellipsometric data at T = 20 °C in terms of parameters (a) ψ and (b) Δ, together with Cauchy model

fits for a film of thickness ta ~ 60 nm.

4.4.3 Modeling of thermal properties of ALD-Al2O3 films

4.4.3.1 Temperature dependent refractive index

In this section we proceed to discuss the modeling of the optical constants of ALD-

Al2O3 films, measured by ellipsometry. For the extraction of accurate optical

properties of thin film materials (optical constants, thicknesses, etc.) from VASE

analysis, it is important to develop an appropriate optical model, which enables

accurate fitting of the experimentally measured data. We consider the simplest

optical model of Al2O3 films on a Si substrate (we call these samples SC(1–6)). The

slight dispersion in refractive index is modeled by using the Cauchy formula to

calculate the refractive index of ALD-Al2O3 films as a function of wavelength and

temperature. The model fit parameters are the thickness of the film and three

Cauchy parameters A, B, and C [see Eq. (3.9)] obtained after a number of iterations

in such a way that the regression algorithm converged to a nearly perfect data fit as

shown in Fig. 4.26. The optical constants used in Eq. (3.9) are A = 1.45, B = 0.01, C

Page 112: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

88

= 0. Fitting the measured data of ALD-Al2O3 films of thickness ~60 nm and

temperature T = 20 °C leads to a mean square error (MSE) of 0.8717.

The environmental conditions lead to small variations of refractive index, which

can deteriorate the performance of sensitive optical devices. On the other hand,

such variations may be employed to advantage in sensor applications, for example,

in athermal waveguide devices [60]. The refractive index data retrieved from the

Cauchy model can be further analyzed to evaluate the TOCs and density of

isotropic Al2O3 films by employing the Lorentz-Lorenz relation in CGS unit system

{see Eq. (4.13)} [163].

where n ≡ n(λ,T), αe is the electronic polarizability in units of cm3, ρ is the density

in units g cm-3

, NA = 6.022 × 1023

electrons/mol is the Avogadro number, and M =

101.961 g/mol is the molecular weight of the Al2O3 molecule. The electronic

polarizabilities of Al+3

, O-2

and the Al2O3 molecule are 0.052 × 10-24

cm3, 1.606 ×

10-24

cm3 and 4.922 × 10

-24 cm

3, respectively [179].

Figure 4.27: Experimental and fitted data of ALD-Al2O3 films: (a) Index variation n(λ,T) (b) density

variation ρ(λ,T) of films of thickness ta ~60 nm at λ = 640 nm.

To model the thermal properties of refractive indices of ALD-Al2O3 films, the

reciprocal of the left hand side of Eq. (4.13) is calculated in the temperature range T

= 20–150 °C with a temperature interval of 10 °C for a wavelength λ = 640 nm and

ta = 60 nm for a sample in the set SC(1–6). A least-square fit of a parabolic curve to

the data points in Fig. 4.27a gives

,02.31028.21002.71

2 427

2

2

TTn

n (4.19)

Page 113: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

89

where the temperature T is expressed in °C and the coefficients of T2 and T have

units °C-2

and °C-1

, respectively. Differentiation of Eq. (4.19) with respect to T

gives

.1028.21041.16

)1(

d

d 4622

Tn

n

T

n (4.20)

Here, the coefficients of T and T0 have units °C

-2 and °C

-1, respectively. Application

of Eq. (4.20) leads to a thermo-optic coefficient dn/dT = 4.66 ± 1.32 × 10-5

°C-1

at

room temperature (T = 20 °C) while dn/dT = 2.06 ± 1.32 × 10-5

°C-1

T = 100 °C.

4.4.3.2 Temperature dependent density

The temperature dependent density ρ(T) of amorphous ALD-Al2O3 thin films is

directly calculated from the temperature dependent refractive index by using Eq.

(4.13). The calculated density values of ALD-Al2O3 are comparable to those

reported by Groner et. al [180] and consistent with an average density of ~ 2.75 g

cm-3

at a deposition temperature of 120 °C. The refractive index and density of the

ALD-Al2O3 films critically depend on the growth temperature [180]. In this section

we are more interested in the temperature dependent density of amorphous ALD-

Al2O3 films, determined on the basis of refractive index by using Lorentz-Lorenz

relation Eq. (4.13). The calculated values for a film of thickness 60 nm at λ = 640

nm, plotted in Fig. (4.27)b, possess a good parabolic fit

,72.21006.21036.6)( 427 TTT (4.21)

where ρ(T) is expressed in units g cm-3

and the coefficients of T2, T, and T

0 have

units °C-2

g cm-3

, °C-1

g cm-3

and g cm-3

, respectively. Differentiation of Eq. (4.21)

with respect to T leads to

,1006.21027.1d

)(d 46 TT

T (4.22)

where dρ(T)/dT is expressed in units °C-1

g cm-3

and the coefficients of T and T0

have units °C-2

g cm-3

and °C-1

g cm-3

, respectively. At room temperature Eq. (4.22)

gives dρ/dT = 1.81 ± 0.51 × 10-4

°C-1

g cm-3

, and at T = 100 °C we have dρ/dT =

7.88 ± 5.12 × 10-5

°C-1

g cm-3

.

Page 114: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

90

Table 4.3: Measured and calculated temperature dependent refractive index (dn/dT × 10-5

) and

density (dρ/dT × 10-4

) of ALD-Al2O3 films of different thicknesses ta = 60–500 nm at T = 20 °C and

T = 100 °C for a wavelength λ = 640 nm.

Sample ID ta [nm] T [°C] dn/dT [°C-1

] dρ/dT [°C-1

g cm-3

]

SC1 60 20 4.66 1.81

SC1 60 100 2.06 0.79

SC2 100 20 8.05 3.10

SC2 100 100 0.49 0.17

SC3 200 20 3.6 1.38

SC3 200 100 1.03 0.27

SC4 300 20 6.98 2.69

SC4 300 100 1.88 0.72

SC5 400 20 4.91 1.89

SC5 400 100 0.61 0.23

SC6 500 20 4.58 1.77

SC6 500 100 1.58 0.61

Table 4.3 shows the values of dn/dT and dρ/dT calculated from the ellipsometric

data for different ALD-Al2O3 film thicknesses at wavelength λ = 640 nm and

temperatures T = 20 °C and T = 100 °C. The dn/dT values for Al2O3 are quite

similar for all the thicknesses, being slightly larger near the room temperature than

at T = 100 °C. The evaluated dn/dT values of ALD-Al2O3 films are similar to the

results already reported by a metal organic plasma enhanced chemical vapor

deposition process [173]. Here we point out that, since the measured refractive

index data at different temperatures follow a parabolic fit, the dn/dT values are

temperature sensitive. The thermal expansion coefficient of alumina is large and

causes the density of thin films to decrease much more slowly than that of the bulk

material. As a result, it favors a large, positive value for the TOC of alumina [173].

The index is related to the increase in density of Al2O3 films and, since ALD- Al2O3

films are inherently dense due to their formation by ALD, they may be considered

almost free from pinhole surface defects [181].

Page 115: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

91

4.4.4 Thermo-optic coefficient of TiO2 thin films in presence of thin diffusion

barrier layers of ALD-Al2O3

The high variations of the refractive index in a hot environment or in a relatively

high humidity causes a spectral instability in the central resonance peak of a guided

mode resonance and passband filter applications [60,162]. In high relative humidity

environment water molecules adsorbs on the film during deposition which

evaporate then in a heating environment, leading to a change in the thermo-optic

properties of the optical materials. In order to minimize the evaporation rate of

water molecules or hydrogenated species from the surface of TiO2 films, thin

inorganic gas diffusion barrier layers of Al2O3 are coated on TiO2 surfaces to enable

the stable operation of such optical devices by retaining their thermo-optic

properties in stringent environments.

Regarding these diffusion barrier layers, most of the previous work focuses on the

high water vapor evaporation rates through polymers, since it explains the behavior

of polymer materials to exhibit negative TOC [120]. The water vapor transmission

rates have been reduced by depositing inorganic diffusion barrier layers by atomic

layer deposition (ALD), typically Al2O3 as a single-layer or multilayer stacks on the

polymer [182,183] and Si [184] substrates. Several researchers have reported the

performance of single-layer Al2O3 films as barrier layers to control the water vapor

transmission rate on the polymer substrates [185,186]. In one study, the

permeability of water molecules was controlled by a deposition of Al2O3 followed

by the growth of a SiO2 layer [183]. Similarly, the ALD-Al2O3 layers have been

employed for surface passivation of III-V compound semiconductors to minimize

the electrical leakage current density [187].

In case of TiO2 films the impermeable behavior of Al2O3 films appears in terms of

sealing effects on the TiO2 films or improved nucleation of Al2O3 on porous TiO2

surface due to these defective sites. The measured permeation of H2O molecules

through single-layer inorganic Al2O3 films is attributed to the film defects caused

by the deposition technique being employed or by the substrate imperfections

[188]. The deposition process plays a significant role on the effective nature of the

inorganic gas diffusion barrier layers. The water vapor transmission rate through a

Page 116: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

92

barrier layer of Al2O3 deposited by electron beam evaporation on polyethylene

naphthalate substrate is ~500 times larger than that of the Al2O3 barrier films coated

by ALD [189]. Atomic layer deposition is a technique that can produce uniform,

dense, conformal, and nearly pinhole free [190] thin Al2O3 films on the TiO2 films

as gas diffusion barrier layers.

Figure 4.28: (a) Porosity model on the near surface region of a TiO2 film. (b) Model for a TiO2 film

coated by an Al2O3 barrier layer.

In this research work, we report on the perspective of using the ALD-Al2O3

diffusion barrier layers in a slightly different way to investigate the evaporation of

water vapors, qualitatively and quantitatively, from the near surface region of

optical grade thin TiO2 dielectric films in terms of the rate of change of refractive

index with temperature. The idea is to enunciate the surface porosity model

speculated in our recent publication (see Ref. [156] and Fig. 4.28) as being

responsible of the change in refractive index of TiO2 films. The TOC of TiO2 films

is studied after ALD coating of Al2O3 barrier layers with a fixed and a variable

thickness. Furthermore, a study of the TOC of Al2O3 films fabricated by ALD

process is presented for the first time.

Page 117: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

93

To this end, three different sets of samples are fabricated by ALD. In the first set the

Al2O3 barrier layer is of constant thickness ta ~6 nm but TiO2 films of different

thicknesses tt ~60−500 nm are considered [named as sample set SA(1–6)], all

fabricated by ALD. In the second set TiO2 films of constant thickness tt ~60 nm are

coated with Al2O3 barrier layers of different thicknesses ta ~6−36 nm [named as

sample set SB(1–6)]. Finally, in the third set we consider only Al2O3 films with

thicknesses ta ~60−500 nm to explicitly study their TOCs [named as sample set

SC(1–6)] that has already been described in section 4.4.3. Measurement of refractive

indices, data fitting and modeling, and TOC of all thin dielectric films was analyzed

and computed in a similar way to that has been described in section 4.4 for TiO2

films only, whereas the experimental results of TiO2 films coated by diffusion

barrier layers of ALD-Al2O3 of different thicknesses are presented in detail in

section 5.6.2, Chap. 5.

Page 118: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

94

Chapter 5: Experimental results and discussion

In this chapter all the experimental results of the carried out research work with the

emphasis to explore the most cost-effective and simple fabrication methods for

efficient and functional nano-optical-devices (filters) despite their design with tight

tolerances are presented. The significance of polymeric materials in replicated

RWGs is highlighted by a number of advantages through experimental

investigations over inorganic materials such as flexibility (ductility), high toughness

values, low processing temperatures, accurate nano-processing and nano-imprinting

possibilities, high transparency for waveguide optical materials, high thermal

expansion and thermo-optic coefficients, and for light weight applications etc.

In section 5.1, we describe the technological achievements to fabricate a master

stamp using Hydrogen silsesquioxane (HSQ) e-beam resist on silicon substrate

without going through an etching process. The resist material shows a phase

transformation from HSQ to amorphous SiO2 without changing its amorphous

nature while improves it mechanical properties to be used as a direct stamping

material in nano-replication applications, the details are shown in our publication

[61].

In section 5.2 organic-inorganic RWGs as athermal nano-optical-devices based on

relatively high TEC and TOC of polymeric materials are presented where

experimental results are in relatively good agreement to those calculated

theoretically, for details see our publication [61]. Furthermore, an investigation of

using various polymeric substrate materials in RWGs and their effect on the

experimentally measured resonance properties is described in section 5.3, where

details are presented in our recent publication [120]. Section 5.4 shows the

polarization-independent characteristics of RWGs by fabricating two different types

of gratings. The experimentally measured results show a close agreement with

theoretically calculated results in one-dimensional polarization-insensitive gratings,

see our recent publication [112]. Furthermore, the research work relating to

Page 119: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

95

inorganic, single layer RWGs on fused silica substrate is extended to investigate an

over-etch effect in fused silica substrate on the non-polarizing properties that is

shown in section 5.5 and details are described in our recent article [191]. To further

the existing research work on the RWGs, an explicit research study is performed on

thin optical films (TiO2, Al2O3), fabricated by ALD method to investigate their

TOC that is described in section 5.6 and details are presented in our article [156].

5.1 FABRICATION OF AN ETCHLESS MASTER STAMP

In this section we demonstrate high quality replication of grating structures, used as

RWGs, in thermoplastic thin films by using an HSQ stamp on a silicon substrate

with high imprint pattern fidelity. The stamp is made on an HSQ layer directly after

e-beam writing and the development process without employment of any reactive

etching process. The mechanical properties of the resist are improved by a heat

treatment process. The imprinted grating patterns are coated by cover layers of

amorphous TiO2 thin films of different thicknesses to perform the operation of

guided mode resonance filters (RWGs). A high refractive index amorphous material

such as TiO2 can act as a waveguide and couple the reflective diffraction orders

strongly and improve the diffraction efficiency of the structure. The spectral

characteristics of the replicated structures in thermoplastics are also investigated

and are shown to be in agreement with the theoretical calculations. The gratings are

replicated with the following geometric design parameters; periods d = 368 nm and

425 nm, fill factor f = c/d = 0.63, grating depth h = 120 nm, incident angle θ = 18°–

20°, TiO2 thicknesses t = 60 nm and 75 nm.

5.1.1 Fabrication and replication process

The process starts with a 2˝ diameter silicon wafer with <100> crystal orientation.

Using the Headway Spinner PWM101D the wafer is first spin coated with a high

resolution, negative tone resist HSQ (XR-1541) from Dow Corning, having

constituents isobutyl methyl ketone, hydrogen silsesquioxane and toluene. The

rotation speed (2700 rpm) and spinning time (60 s) were adjusted to get a resist

thickness of 120 nm, which is the designed height h of the grating structures. The

HSQ layer thickness was measured by a Dektak 150 stylus surface profilometer

Page 120: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

96

manufactured by Veeco Metrology. Three different sets of grating patterns with

periods d = 425 nm, 368 nm and 540 nm and the structure height h ≈ 120 nm and

140 nm were written on the HSQ-resist, covering an exposed area of 5 mm x 5 mm.

A Vistec EBPG5000+ES HR electron beam patterning tool with 100 kV at a scaled

doze of 4800 μC/cm2 was used in the e-beam writing. The exposed patterns were

developed manually with the developer MP 351, which contains disodium

tetraborate decahydrate and sodium hydroxide, mixed with water to give a solution

with the ratio 1:3 (MP 351:H2O), followed by rinsing with isopropanol and water

for 90 and 30 seconds, respectively. After development, the sample was heat treated

for 180 minutes at a temperature of 300 °C in an oven.

Figure 5.1: Process flow for the fabrication and replication of a binary grating in polycarbonate from

HSQ stamp on Si substrate.

A post-treatment process, such as a thermal or a plasma treatment, or their

combination, influences the HSQ properties. For example, the geometry of HSQ

chain structure changes from a cage to a network structure without undergoing any

phase change of non-crystalline HSQ-resist [192]. These treatments transform and

Page 121: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

97

improve the properties of the HSQ-resist, such as density, hardness etc. and make it

suitable to be used as a hard stamping material like SiO2.

The heat treated sample was then subjected to a surface treatment in nitrogen

environment to deposit a silane layer with silanization solution composed of a

mixture of HFE 7100 Engineering solvent with 0.2 % of trimethylhydroxysilane

(TMS). It acts as an anti-adhesive layer for stamping in a polycarbonate substrate.

The final patterns were transferred to polycarbonate sheets with a thickness of a few

hundred microns (from Makrofol DE). The replication process was performed by

nano-imprint lithography with Obducat Eitre imprinter shown in Fig. 5.1 as a

process flow. In the replication process the stamp was heated at a temperature of

165 °C, slightly above the glass transition temperature Tg of polycarbonate. After

heating the stamp, a pressure of 50 bar was applied for 120 s, followed by air

cooling at the final processing step. Replication was followed by deposition of high

index amorphous TiO2 films of different thicknesses t, grown by Beneq TFS 500

ALD reactor at the deposition temperature of 120 °C using TiCl4 and H2O as

precursor materials.

5.1.2 Effect of development and etching time

Figure 5.2 shows the grating structure with a period of d = 368 nm and a

corresponding linewidth w = 235 nm obtained with different development times.

The development time has an effect on the complete removal of the resist layer.

Structures in Figs. 5.2a and b were developed with the development times of 90 s

and 120 s, respectively. There appears some residue resist layer after the

development process (see Fig. 5.2a). Figure 5.3 shows the etching profiles of silicon

samples. Etching was performed with inductively coupled plasma + reactive ion

etching (ICP and RIE) sources based on hydrogen bromide (HBr) chemistry, by

using Oxford Instruments Plasmalab 100. The etching times were 180 s and 130 s

with a result of average depths of ~324 nm and ~244 nm in silicon as shown in

Figs. 5.3a and b, respectively. An interesting feature seen in Fig. 5.3 is that the

profile is not identical with the one in Fig. 4.9, rather with sloped sidewalls,

showing more etched area near the top surface of silicon. Such an etching profile is

Page 122: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

98

a result caused by an under-developed area of the HSQ-resist layer as shown in Fig.

5.2a.

During etching of silicon, the profile of the under-developed resist mask is

transferred to silicon substrate through etch selectivity and results in an inclined

profile. Although it favors the replication process, it leads to a similar replicated

profile with slope, which finally causes a deviation of the grating response from the

designed one.

Figure 5.2: RWG structures with different development times of the HSQ-resist on silicon

substrate after e-beam exposure. (a) ~53 nm resist-free region. (b) ~68 nm resist-free region.

Figures 3.2 and 3.3 show SEM pictures of the HSQ-resist on top of a silicon

wafer, for a grating structure with d = 425 nm and 325 nm, after the heat

treatment. The shown grating profile is a master stamp (HSQ lines on silicon),

without having gone through a dry reactive ion etching treatment. The HSQ

mold has been used as a nano-replication stamp for more than 20 times and the

measured optical spectra after each replication is found similar to each other. In

addition, no aging effects have been found in the HSQ mold after more than 12

months.

Figure 3.6 shows the replicated grating structures in polycarbonate, Cyclic olefin

copolymer and Ormocomp® using the stamp shown in Fig. 3.3 and a hot

embossing process. However, the profile is not quite of the form assumed in Fig

4.9, mainly because of some rounding of edges of the replicated grating in

polymers that takes place primarily in the hot embossing step and the profile is

close to that with a depth of about 120 nm and 140 nm. The replicated gratings

are coated with a high refractive index layer of amorphous TiO2 grown by

Page 123: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

99

atomic layer deposition. Figure 3.7 illustrates the conformal coverage of TiO2 on

the replicated grating profile. This means that the HSQ-resist can be used as a

direct stamping material by eliminating the dry etching process, which not only

reduces the cost and time but also enables replication of the structure very close

to its original stamp.

Figure 5.3: Etching profiles in Si with HBr-O2 with different etching times. (a) After etching 180 s with a

depth of ~324 nm. (b) After etching 130 s with a depth of ~244 nm. (c) Top and slightly tilted view with

an etching time of 180 s.

5.1.3 Optical spectra of grating structures

Grating structures with two different periods, d = 425 nm and 368 nm, were designed

as RWGs for the TE-Mode (Electric field is normal to the incident plane). The

refractive indices of polycarbonate and TiO2 are 1.570, 1.575 and 2.3264, 2.3465 at

wavelengths 855 nm and 740 nm, respectively. The performance of the designed

structures at three different angles of incidence (18–20°) are shown in Figs 5.4a and

b, in terms of spectral reflectance R and wavelength λ with full width half maximum

(FWHM) of ~11 nm. Figures 5.4c and d show the measured spectral reflectance R of

Page 124: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

100

the replicated gratings in polycarbonate, with periods d = 425 nm and d = 368 nm,

having FWHMs of 13.5 and 11 nm, respectively. These spectra reveal that not only a

successful replication is achieved using the direct HSQ stamp but also the

experimentally obtained optical responses are in close agreement with the

theoretically designed values.

Figure 5.4: Calculated spectral reflectance (R) at three incident angles: (a) d = 425 nm. (b) d =

368 nm. Experimentally measured spectral reflectance (R) at three incident angles: (c) d = 425

nm. (d) d = 368 nm. Here Y is the peak reflectance and X is the corresponding wavelength.

The wavelength shift of the resonance peak from its designed value may be due

to slight variation of refractive indices of the materials encountered by the light.

The fabrication process itself is composed of a number of steps and parameters

with some limitations, a slight change of any parameter such as the structure

height, exact binary profile, fill factor, TiO2 thickness etc. may cause a shift of

the resonance peak. Additional spectral characteristics of the replicated gratings

with d = 425 nm were obtained by using high index ALD-grown TiO2 cover

layers of different thicknesses t. Figure 5.5a shows the simulated spectral

Page 125: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

101

reflectance variation for two different TiO2 thicknesses t = 60 and 75 nm (here

index Y means reflectance). Figure 5.5b shows calculated and experimentally

measured lineshapes of the spectral reflectance for the two thicknesses at the

angle of incidence of 20°. Experimental results are in agreement with the

calculated ones, which is another indication of the high quality of the replicated

structures to be used as RWGs. Regarding the thermal stability of the imprinted

gratings, the performance of a grating was measured after heating it to a

temperature of 140 °C and cooling back to the room temperature shown in Fig.

5.6b. The measured optical spectra were found to be the same as the ones before

the heating with the same diffraction efficiency and profile.

Figure 5.5: (a) Simulated spectral reflectance variation as a function of TiO2 film thickness t. (b)

Calculated and measured spectral reflectance R of replicated gratings with TiO2 layer thickness t =

60 nm (blue curves) and t = 75 nm (brown curves) for the TE-mode at θ = 20° and d = 425 nm.

5.2 ATHERMAL MEASUREMENT OF ORGANIC-INORGANIC RWGs

In this section athermal response of waveguide gratings is investigated

experimentally in relation to the theoretical design and fabrication that have been

described in Sects. 4.1.1 and 5.1.1, respectively. The thermal behavior of the RWG

samples were measured and characterized by a variable angle spectroscopic

ellipsometry as described in section 3.6. The ellipsometer was employed to measure

the spectral reflectance resonance peak for TE-Mode at θ = 20° in the wavelength

range 750–880 nm with a beam spot size of 3 mm by scanning the wavelength with

steps of 0.2 nm. The polycarbonate grating was placed firmly against an aluminum

hot plate built in house whose temperature was controlled and monitored carefully.

Page 126: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

102

The heating rate was 0.5 ± 0.1 °C/min during each measurement interval. The

surface temperature of the sample was measured using Convir ST8811 Handheld

Infrared Thermometer by Calex Electronics Limited Company with an accuracy of

± 2 °C. The calibration of both the Aluminum hotplate thermocouple and Convir

was checked by measuring simultaneously the temperature of heated water with a

good quality liquid thermometer. The Infrared thermometer is based on the

principle of detection of emitted thermal radiation from heated samples which

depends on emissivity of source materials. The emissivity of water is found exactly

equal to Convir as well as our polycarbonate substrate material (0.95).

The surface of the aluminum hot plate was rubbed with a fine sand paper to

eliminate any back reflections and it was tested by an initial transmittance and

corresponding reflectance measurement at room temperature without the presence

of hot aluminum plate. The comparison of both measurements at room temperature

(with and without aluminum hot plate) was made and matched prior to the start of

thermal measurements with hot plate. Since each thermal spectral measurement was

performed by a temperature interval of 5 °C, the material may be considered at

thermal equilibrium at each temperature interval. The refractive indices of ALD

coated TiO2 films at various temperatures were measured by ellipsometer and then

employed directly in calculations (section 4.1.2) on the behavior of central

resonance wavelength shift.

Figure 5.6a shows the spectral measurements at temperatures 30 °C, 35 °C and 55

°C. The difference in the peak reflectance is only about 0.4 nm between the spectra

at 35 °C and 55 °C. Figure 5.6a shows the measured shift in resonance wavelength

as a function of temperature, from 25 °C to 85 °C. The shift in the resonance

wavelength is about 1.4 nm for this large temperature range. The experimental

results are in relatively good agreement with the theoretical simulations predicting a

shift of about 0.7 nm for the temperature range from 25 °C to 100 °C (see Fig.

4.5b).

Page 127: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

103

Figure 5.6: Spectral measurement of RWGs with TiO2 thickness t = 61 nm. (a) Spectral reflectance

curves at temperatures T = 30 °C, 35 °C and 55 °C. (b) Room-temperature spectral lineshape

subjected to thermal measurements up to T = 85 °C.

Figure 5.7: Thermal measurements of RWGs with TiO2 layer thickness t = 61 nm. (a) Peak thermal

spectral shift. (b) Peak resonance reflectance.

The most noticeable feature in the thermal behavior of the organic-inorganic RWGs

considered here is the reduction of the peak reflectance at high temperatures shown

in Figs. 5.7b and 5.8c. This cannot be attributed to changes of the structural

parameters in a perfectly periodic profile since such changes would only shift the

resonance wavelength, even if the profile is not of the ideal shape assumed in Fig.

4.9. The most plausible explanation for the reduced reflectance is uneven swelling

of the grating in the sense that the grating profile becomes increasingly space-

variant at high temperatures. As a result, the resonance conditions would depend on

position, which would widen the resonance peak and simultaneously decrease the

peak reflectance.

Page 128: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

104

The useful temperature range depends on the reflectance value required by the

particular application and can be judged from Fig. 5.7. Importantly, the thermal

reduction of the peak efficiency is reversible: after cooling back down to room

temperature, the resonance peak efficiency returns to the original value and the

spectral line resumes its original shape within the measurement accuracy (see Fig.

5.6b). This is attributed to the recovery of the polymer (PC) within the relaxation

time of the PC.

Figure 5.8: Spectral measurements of RWGs with TiO2 layer thickness t = 71 nm. (a) Room-

temperature peak resonance lineshape. (b) Peak thermal spectral shift. (c) Peak resonance

reflectance.

To further elucidate the good correspondence between theoretical and experimental

results, we fabricated another set of samples with the same polymer grating profile

but a TiO2 layer thickness t = 71 nm. Figure 5.8a shows the measured lineshape of

such a grating, with room-temperature peak at 843.8 nm (at angle of incidence θ =

20°. In view of Fig. 5.8b, a shift of 0.4 nm in peak spectral position takes place at

30 °C. Thereafter, the peak position remains constant (within our measurement

Page 129: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

105

accuracy of 0.2 nm), until a final shift of 0.8 nm occurs at 85 °C. The corresponding

peak reflectance values, shown in Fig. 5.8c, exhibit the same trend as for the grating

with 61 nm TiO2 layer thickness. The experimental peak position λr = 843.8 nm is

again some 3 % smaller than the theoretical value λr = 871.6 nm.

5.3 EFFECT OF DIFFERENT POLYMER SUBSTRATES ON RESONANCE

PROPERTIES OF RWGs

In this section we show experimentally measured results of athermal waveguide

gratings using various polymer substrate materials on their respective spectral

characteristics, shown in section 4.1.2 after a complete theoretical analysis. In this

research work, polymer materials PC and COC were obtained from Makrofol® DE

and TOPAS® advanced polymers, respectively, in the form of thin sheets with

thicknesses of a few hundred microns, while Ormocomp® was acquired from

Micro resist technology® in liquid phase. The replication process of PC and COC

gratings was carried out under the same experimental conditions except the

operating temperature of COC was set at 160 °C. The replication of Ormocomp®

was performed after filling the silane treated master grating stamp (mold) with

liquid Ormocomp®, followed by an initial uv-curing for 60 s. Then the molded

Ormocomp® film was solidified enough to allow its demolding without being

constrained by the stamp walls. The final step of the replication process was a

further uv-curing for 10 min. After structure replication, to make the RWGs the

polymer gratings were coated by a high index, amorphous TiO2 film with a

thickness t = 50 nm by an ALD process using Beneq TFS 200-152 reactor with

precursor materials TiCl4 and H2O at a low deposition temperature of 120 °C to

form the final structure shown in SEM Figs. 5.10.

A scanning electron microscope (SEM) picture of an HSQ stamp is illustrated in

Fig. 5.9a, and profiles replicated by hot-embossing and uv-curing processes are

shown in Figs. 5.9b–d. The replicated profiles follow closely the master HSQ

profile fabricated by the etch-free process. Figure 5.10 demonstrates the conformal

growth of the high-index amorphous TiO2 cover layer of thicknesses t = 50 nm. The

measured room temperature reflectance spectra of g-I, g-II, and g-III with

reflectance peaks at 698.6 nm, 631.4 nm, and 630.4 nm and peak reflection

Page 130: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

106

efficiencies 0.71, 0.94, and 0.65, respectively, are shown in Fig. 5.11. The peak

resonances occur at different spectral positions and the diffraction efficiencies are

lower than those calculated in section 4.1.2, where we considered the ideal profile

in Fig. 4.9. The spectral shifts are due to errors in the dimensional profile of the

gratings, including the rounding of edges. The reduced peak efficiency is most

likely caused by scattering from surface roughness, slight irregularities in the

straightness of the grating lines, and voids percentage in polymers that cause

refractive-index variations at a microscopic scale.

Figure 5.9: SEM pictures. (a) An HSQ stamp with d = 325 nm, h = 120 nm, w = 205 nm. (b) A

replicated structure in PC with d = 368 nm, h = 120 nm, w = 232 nm. (c) A replicated structure in

COC with d = 325 nm, h = 120 nm, w = 205 nm. (d) A replicated structure in Ormocomp® with d =

325 nm, h = 120 nm, w = 205 nm.

Page 131: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

107

Figure 5.10: SEM pictures of replicated structures coated by TiO2 cover layer of thickness t = 50 nm.

(a) Polycarbonate (PC). (b) Cyclic-olefin-Copolymer (COC). (c) Ormocomp®.

The experimentally measured thermal spectral shifts of the polycarbonate grating

(g-I) as a function of temperature are shown in Fig. 5.12a. We find a characteristic

positive slope of 0.010 corresponding to a thermal spectral shift of 0.8 nm in the

interval 25 °C ≤ T ≤ 100 °C. This result is in excellent agreement with the

theoretical calculations performed in section 4.1.2.1 (Fig. 4.6d), which indicated

partially athermalized behavior within the considered temperature range. The

measured data for copolymer grating g-II, shown in Fig. 5.13a, are not in equally

close agreement with the theoretical results in Fig. 4.7d. This is attributed to the

large value of modulus of COC, which makes it rigid and indicates the presence of

stresses in the material [193]. Several researchers have addressed this property

[194] and provide solutions in terms of composite materials made by mixing

flexible particles with the copolymer blends while maintaining its optical

transparency. The onset of heating relaxes such stresses and expands the material,

thus showing a predominance of the TEC effect, which results in a comparatively

larger spectral peak shift towards longer wavelengths in the measured RWGs.

Page 132: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

108

Figure 5.11: Measured reflectance spectra of RWGs with various polymer substrates. (a)

Polycarbonate (PC). (b) Cyclic-olefin-Copolymer (COC). (c) Ormocomp®.

The measured thermal spectral shifts of the Ormocomp® grating (g-III), shown in

Fig. 5.14a are also not in complete agreement with calculations in Fig. 4.8d. It is

known that as the uv-induced consolidation process progresses, it results in

continually increasing compressive (shrinkage) strains [166], which also increase

the modulus of the material and ultimately shift the spectral peak towards shorter

wavelengths. Heating the material (in our study) relaxes these strains, which

increases the dimensions of the replicated pattern and thereby strengthens the

predominance of the TEC effect, causing a spectral peak shift towards longer

wavelengths. Hence, although COC and Ormocomp® (g-II and g-III) were found to

be excellent materials for athermal device operation according to the calculations,

the measured spectra show larger spectral shifts (1–2 nm). Therefore, polycarbonate

is in practice the preferable material for athermal devices.

Page 133: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

109

Figure 5.12: Experimental measurements of g-I (PC). (a) Measured thermal spectral shift as a

function of temperature. (b) Measured spectral reflectance R(λ,T).

The observed effects may also be explained by means of the molecular orientation

of the polymer chains. The stress generation during mold filling may result in a

partial orientation of polymer chains along the principal stress directions [195]. The

molecular orientations can relax with increasing temperature over a certain time. If,

however, the temperature remains constant and material is uv-cured, the new

molecular orientations can easily be frozen in the glassy state of the polymer. These

new orientations of molecular chains may lead to anisotropy in the refractive index

of the material, and the most important factor is the frozen-in stresses. On placing

the consolidated material in a thermal environment, the stresses relax after

reorientation of the molecular chains. The relaxing phenomena may cause an

increase in the thermal expansion coefficient of the material, which results in larger

spectral shifts than predicted by our calculations. Furthermore, residual stresses can

also be generated due to a misfit of the TEC of the substrate and the coating during

the ALD growth. If the TEC of the thin film is larger than that of the substrate

material, film tends to expand by inducing a compressive stress due to a constraint

imposed by the substrate. Similarly, if the TEC of the thin film is smaller than that

of the substrate, a tensile stress can be generated in the film [196].

Page 134: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

110

Figure 5.13: Experimental measurements of g-II (COC). (a) Measured thermal spectral shift as a

function of temperature. (b) Measured spectral reflectance R(λ,T).

The important feature in the thermal analysis of RWGs considered here is the

reduction in peak reflectance at higher temperatures shown in Figs. 5.12b, 5.13b

and 5.14b. This reduction of peak reflectance cannot be related to the variations in

the structural parameters of the periodic profile of the gratings since such changes

can only shift the resonance spectral position. However, the decrease in reflectance

may be caused by uneven swelling of the polymer gratings such that the profile

becomes increasingly space-variant at higher temperatures. Furthermore, this

thermal reduction of peak reflectance is reversible in the sense that after cooling

down the gratings to room temperature, the resonance peak retrieves its original

value and spectral lineshape within the measurement accuracy.

Figure 5.14: Experimental measurements of g-III (Ormocomp®). (a) Measured thermal spectral shift

as a function of temperature. (b) Measured spectral reflectance R(λ,T).

Page 135: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

111

5.4 FABRICATION AND DEMONSTRATION OF ONE-DIMENSIONAL

POLARIZATION-INSENSTITIVE RWGs

In this section the fabrication and resonance properties of two types of one-

dimensional polarization-insensitive RWGs are demonstrated. The design and

simulations of polarization-insensitive gratings have already been described in

section 4.2.

5.4.1 Fabrication of polarization-independent RWGs of Type-I and TiO2

planar thin films

We employed ALD, EBL, and RIE techniques to fabricate the Type-I RWGs. The

fabrication procedure is schematically presented in Fig. 3.4.The fabrication started

by cleaning the fused silica substrates with a diameter of 25 mm and a thickness of

0.5 mm with isopropanol followed by a dry nitrogen blow. After cleaning the

substrates, thin amorphous films of TiO2 with thicknesses of ~200 nm were coated

on the substrates by ALD, using Beneq TFS 200-152 reactor. The commonly

known precursor materials TiCl4 and H2O were used at a low deposition

temperature of 120 °C, with chamber and reactor pressures of 6.80 mbar and 1.59

mbar, respectively. Nitrogen was used as the carrier for the precursor materials and

as a purging gas after each precursor pulse during a cycle. The flow rate of TiCl4

precursor was 200 sccm (standard cubic centimeter) with a pulse duration of 150

ms, which was followed by a purging for duration of 750 ms. For H2O precursor,

the flow rate was maintained at 300 sccm for a pulse duration of 150 ms with a

subsequent purging for 1 s. The growth rate of the deposited films was 0.065 nm

per cycle which was measured by the Dektak 150 stylus surface profilometer from

Veeco Metrology, and by an ellipsometer.

The ALD process was followed by the sample coating with a thin Cr layer of

thickness ~30 nm by electron beam evaporation at a vacuum level of 1.5 × 10-6

mbar at a deposition rate of 2 Ås-1

using the Lebold L560 vacuum evaporator from

Lebold Heraeus. The Cr coated sample was then prepared for a deposition of a thin

layer of positive electron beam resist ZEP 7000 22 by a spin coating process at a

spinning speed of 2900 rpm for 60 s using Headway spinner PWM101D from

Headway research Ltd. The resist layer was soft baked at a temperature of 180 °C

Page 136: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

112

for 180 s on a hot plate to evaporate the solvents. The resist was then patterned on

an area of 7 × 7 mm2 by an electron beam writer EBPG5000 + ES HR from Vistec

Lithography at a scaled dose of 200 μC cm-2

. After e-beam exposure the sample was

developed with 99 % Ethyl 3-ethoxypropionate (EEP) from Aldrich Ltd. for 60 s,

followed by rinsing with isopropanol for 30 s and deionized water, finally dried

with a blow of nitrogen. After the e-beam exposure and development processes, the

sample was etched by RIE. The Cr mask was dry-etched at a low pressure process

(15 mtorr) in the presence of Cl2 and O2 reactive gases together with inductively

coupled plasma (ICP) at 1500 watt using Plasmalab 100 from Oxford Plasma

Technology. A total flow of ~58 sccm was maintained for a Cr-etching time of ~95

s. The Cr-etching was followed by a resist removal employing O2 plasma at 100

watt RF power and a flow rate of 20 sccm for 180 s using March CS-1701 from

Microtech-Chemitech AB. The O2 plasma not only etches the resist layer and the

constituent ashes but also cleans the sample thoroughly for the subsequent TiO2

etching.

The TiO2 etching was carried out using the reactive gases SF6 and Ar plasma with a

total flow of 15 sccm at 300 watt RF power, at 20 mtorr process pressures, and with

different etching times by using Plasmalab 80 from Oxford Plasma Technology.

After completion of the TiO2 etching process, the sample was cleaned with O2

plasma with the same parameters as mentioned above. The final process step was to

remove the Cr layer by wet etching from the entire sample surface. This step was

performed in a mixture of Ammonium cerium (IV) nitrate from Sigma-Aldrich,

acetic acid, and deionized water for sufficient duration to completely remove the

Cr-layer. The Cr wet-etching was followed by rinsing with deionized water drying

with nitrogen blow to complete the fabrication of TiO2 RWGs. The SEM images of

finally fabricated RWG structures of type-I are shown in Fig. 5.15.

5.4.2 Fabrication of polarization-independent RWGs of Type-II

The fabrication and replication of the Type-II RWG were performed with the

methods presented in Ref. [61] and schematically illustrated in Fig. 5.1. In brief, the

master stamp grating was fabricated on a Si wafer by EBL with a negative e-beam

binary resist HSQ without any etching process. Then, replication in thermoplastic

Page 137: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

113

was realized using an Obducat Eitre imprinter at a temperature of 165 °C which is

above the glass transition temperature Tg ~ 150 °C of polycarbonate. After the

nanoimprinting, the polycarbonate substrate was covered by an amorphous TiO2

layer by ALD process at a deposition temperature of 120 °C using commonly

known precursors TiCl4 and H2O. The SEM images of the finally prepared PC-TiO2

RWGs are shown in Fig. 5.16.

Figure 5.15: SEM images of the fabricated TiO2-SiO2 RWGs of Type-I.

Figure 5.16: SEM images of the finally fabricated PC-TiO2 non-polarizing RWGs of Type-II.

Page 138: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

114

It is seen from Figs. 5.15 and 5.16 that the fabricated profiles of both types of

RWGs deviate slightly from the theoretical designs, which are slightly circular

domed rather than purely rectangular ones. This is unavoidable in the practical

etching (for the Type-I grating) and master grating fabrication (for the Type-II

grating) processes. Furthermore, the uncertainty of the refractive indices of

materials, especially that of the ALD-prepared TiO2, also sensitively influences the

practical resonance properties of the RWGs. For these reasons, our fabrication

processes, though mainly guided by the theoretical designs, still have to be tuned a

little by reducing temperature interval for example such that material approached

thermodynamic equilibrium so as to get the best structural parameters to

demonstrate the non-polarizing RWGs effect.

5.4.3 Spectral resonance characteristics of the fabricated non-polarizing

RWGs

The optical properties of the fabricated RWG samples were characterized by a

variable angle spectroscopic ellipsometer VASE from J. A. Woollam Co. The

ellipsometer was set to measure the spectral transmittance of the gratings under

normal incidence of TE and TM polarized light. The collimated beam spot diameter

is 3 mm, which is sufficiently smaller than the grating area of 7 × 7 mm2. The

scanning wavelength step is 0.2 nm in measurement in the wavelength range from

700 to 1000 nm.

Figure 5.17: Experimentally measured transmittance spectra: (a) Type-I and (b) Type-II RWGs.

Page 139: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

115

The measured zeroth-order transmittance spectra of the two fabricated grating

samples are plotted in Fig. 5.17, both of which show the non-polarizing guided

mode resonance GMR effect around a wavelength of 840 nm. The small shift of the

resonance wavelength (10 nm from the expected 850 nm) is mainly due to the

deviation of the practical grating profiles from the designed ones, as shown above in

Figs. 5.15 and 5.16. In principle, we can tune the resonance wavelength to 850 nm

by further parameter adjustment (for example, by increasing the period d of the

grating or varying linewidth w) in the fabrication process. But the current

fabrication results already demonstrate well the expected non-polarizing GMR

effect at a wavelength very close to the designed one. Furthermore, by comparing

Figs. 5.17a and 5.17b with Figs. 4.11b and 4.13, respectively, we can see very good

correspondence between theory and experiment; the main resonance features such

as the lineshapes, the resonance linewidths, and the diffraction efficiencies are well

reproduced in experiment. These show the reliability of the theoretical design and

the fabrication processes.

The measurement results are also the first experimental demonstration so far on the

realization of polarization-insensitive 1D GMR gratings under normal incidence. By

inspecting the spectra of the Type-I GMR grating in Fig. 5.17a, we can see that the

TE and TM resonance peaks are almost at the same wavelength, with a small

difference of 2.4 nm; the linewidths of the two resonances are also very close to

each other, with a full width at half maximum of 30 nm for TE and 38 nm for TM.

Therefore, the Type-I grating exhibits the non-polarizing GMR effect almost

perfectly. The Type-II grating also demonstrates very good non-polarizing effect

(with only 1.4 nm difference between the TE and TM peak wavelengths), but has a

larger difference between the TE and TM resonance linewidths and the sidebands

are not as well suppressed, as seen in Fig. 5.17b.

The structure needs to be further optimized to improve the filtering property (for

example, by adding underneath alternate layers of different refractive indices to

suppress the sidebands). Nevertheless, owing to the easier fabrication process and

much lower manufacturing cost, the Type-II grating has good perspective for

Page 140: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

116

practical applications. We are taking further study to improve its resonance

performance while maintaining the relatively simple geometry.

5.5 AN OVER-ETCHING EFFECT IN FUSED SILICA SUBSTRATE ON NON-

POLARIZING PROPERTIES OF RWGs

In this section the degeneracy of the TE- and TM-modes of non-polarizing SiO2-

TiO2 (Type-I) RWGs that has already been described in section 5.4.3 are

investigated by considering an over-etch effect in fused silica substrate. The

theoretical analysis performed in section 4.3 shows that the non-polarizing

characteristics are split with increasing an ever-etch depth in fused silica (see Fig.

4.16).

Figure 5.18: XRD patterns of the TiO2 thin films of thicknesses ~200 nm deposited on fused silica

by ALD. (a) As-deposited amorphous phase and (b) heat-treated crystalline phase (anatase).

5.5.1 Structural and optical characterization of SiO2-TiO2 (Type-I) RWGs

and TiO2 thin films

The structural characterization of the TiO2 RWGs and thin TiO2 amorphous and

crystalline films were performed by a scanning electron microscope (SEM LEO

1550 Gemini). The samples were sputter coated by a thin ~10 nm conductive layer

of Cu in a sputter coater K675X. The RWGs and thin TiO2 films were heat treated

in an oven at a temperature of 300 °C for 7 hours to change the phase of the TiO2

material. The phase of TiO2 thin films (without plasma treatment) was

characterized by X-ray diffraction (XRD). For the powder diffraction experiment

Page 141: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

117

we used Bruker Advance D8 in Bragg-Brentano geometry using a step-scan

technique and Göbel mirror to produce Cu K-alpha radiation (1.54184 Å, 40 kV,

40 mA). The data was collected by a scintillation detector using a continuous

scanning mode in 2θ range of 8–70° with a scan step size of 0.05° and a counting

time of 6 s per step. The spectral characterization of the RWGs (transmission

spectra) and TiO2 thin films (optical constants and transmission spectra) were

characterized by a variable angle spectroscopic ellipsometer. The ellipsometer was

employed to measure the spectral transmittance resonance peak for the TE- and

TM-modes at normal incidence in the wavelength range 700–1000 nm at a

wavelength scan step and a beam spot size of 0.2 nm and 3 mm, respectively. The

refractive indices of amorphous and crystalline TiO2 films prepared by ALD were

measured by an ellipsometer and the optical constants were retrieved after

modeling the measured data as has been discussed in section 4.3.3 (see Fig. 4.18).

5.5.2 TiO2 thin films on fused silica substrate

The XRD patterns of the thin TiO2 films are shown in Fig. 5.18. The as-deposited

films possess amorphous phase (Fig.5.18a) and the ones subjected to a heat

treatment at a temperature of 300 °C for 7 hours clearly show a crystalline phase

with various intensity peaks at anatase positions [197], as seen in Fig. 5.18b.

Figure 5.19: Refractive index of the TiO2 thin films of thicknesses ~200 nm deposited on fused

silica by ALD using precursors TiCl4 and H2O with nitrogen as a carrier gas at a deposition

temperature of 120 °C and a growth rate of 0.065 nm per cycle. (a) As-deposited TiO2 amorphous

phase. (b) Heat-treated TiO2 crystalline phase (anatase) at 300 °C for 7 h.

Page 142: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

118

The refractive indices of the as-deposited amorphous and heat treated crystalline

TiO2 films are shown in Fig. 5.19. The measured data from an amorphous film fits

the model as was shown in Figs. 4.18a and 4.18b and reveal a smooth refractive

index change with the wavelength, shown in Fig. 5.19a. On the other hand, the

measured data of a crystalline film have some fluctuations after fitting under the

same conditions as for the amorphous film (Figs. 4.18c and 4.18d) and the

corresponding refractive index data are shown in Fig. 5.19b. This may be

attributed to the fact that after a phase change, some defects are induced to the

TiO2 material which may cause scattering. The indication of these defects is the

increased propagation losses in the films, which have been measured in a

waveguide configuration (not shown here).

Figure 5.20: SEM pictures of TiO2 films on fused silica substrate. (a) As-deposited amorphous

film. (b) Heat-treated crystalline TiO2 film.

Figures 5.20a and 5.20b show the scanning electron microscope pictures of the

amorphous and crystalline films deposited on fused silica, respectively. Figure

5.21 shows the measured transmittance of amorphous and crystalline TiO2 films at

wavelength range 380–1800 nm with a scan step of 2 nm. The spectra show that

TiO2 films are transparent over a wide spectral (visible to infrared) range and the

transmittance of both amorphous and crystalline phases is about the same.

Page 143: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

119

Figure 5.21: Measured transmittance at a wavelength range 380–1800 nm of TiO2 films deposited on

a fused silica substrate by ALD method. (a) As-deposited TiO2 amorphous phase. (b) Heat-treated

TiO2 crystalline phase.

5.5.3 TiO2 RWGs on fused silica substrate

Figure 5.22 shows the scanning electron microscope pictures of the fabricated

gratings after etching to and into the fused silica substrate surface at different

depths. Both of the TiO2 and fused silica etchings were performed under the same

experimental conditions using the reactive gases SF6 and Ar. RIE etching is

facilitated by the addition of Argon (Ar) to enhance the ion-assisted removal of etch

products and to provide the ignition of the plasma at lower pressures. Furthermore,

the addition of an inert gas to a discharge is used to stabilize the plasma and to

control the etchant concentration, which affects the etch rate of TiO2. The increase

in TiO2 etch rate at relatively higher Ar partial pressures (keeping overall gas

pressures constant) is most likely due to an increase in ionic bombardment at the

TiO2 surface [198]. Therefore, a strong physical component (Ar) is beneficial in the

etching process for a high bond-strength material like TiO2. Typically, the Ar

etching constitutes the physical component and the presence of SF6 or Cl2 give the

chemical component to the etching process.

Most often the etch rates of TiO2 by SF6/Ar are higher than by Cl2/Ar and the etch

rates of individual chemical components SF6 or Cl2 are higher than that of Ar alone.

In addition, the other parameters such as the dc bias and the chamber pressure have

influence on the etching process. The increase in the dc self-bias will increase the

etch rate in terms of both bond breaking and by removal of the sputtered desorption

Page 144: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

120

etch products. Therefore, the average incident ion energy is the sum of the dc self-

bias and the plasma potential [199]. The etch selectivity of TiO2 is strongly affected

by the RF sample power. As the sample bias is increased, the TiO2 etch rate

increases which is most likely attributed to the increase in ion bombardment energy

related to the higher RF sample power [189].

Figure 5.22: Scanning electron microscope images of TiO2 RWGs etched to different depths. (a), (b)

Etched to the surface of the substrate (fused silica). (c) Over-etched 39 nm in the substrate. (d) Over-

etched 73 nm in the substrate. (e) Over-etched 97 nm in the substrate and (f) over-etched 128 nm in

the substrate.

Page 145: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

121

The increase in pressure leads to an increase in random collisions among the

particles and results in a decrease in directional etching i.e., the ionic bombardment

is reduced due to an increase in the number of random particle collisions. Hence,

low chamber pressures are preferred in achieving an anisotropic etching profile.

Figure 5.23 shows the transmittance of the TiO2 waveguide gratings with no over-

etching, for both polarizations. The measured spectra of both the TE- and TM-

modes have a center resonance dip around 850 nm which is the same as that of the

theoretically predicted, as was shown in Fig. 4.11b (in terms of reflectance). This

result demonstrates an excellent agreement between the theoretical and

experimental results for a non-polarizing grating.

Figure 5.23: Measured transmittance, at normal incidence of the fabricated TiO2 RWGs with a

slightly slanted profile with a period d = 544 nm, a linewidth w = 407 nm and a structure height hc =

201 nm. (a) TiO2 amorphous phase. (b) Heat-treated TiO2 phase.

The same grating does not show any significant change in the spectra after a heat

treatment process. The theoretical prediction for the heat treated gratings (see Fig.

4.19) was that the resonance peak shifts towards longer wavelengths, from 850 nm

to 877.5 nm for the TE- and to 869 nm for the TM-mode. The prediction was based

on the increase in refractive index of TiO2 from 2.32 to 2.43 (see Fig. 5.19) after a

phase transformation from amorphous to crystalline, as was shown in Fig. 5.18. The

experimentally measured spectra of all the heat treated gratings exhibited a spectral

shift of only 0.6–1.0 nm for the central resonance wavelength. This result may be

attributed to the fact that after RIE and a plasma treatment of TiO2, the surface

chemistry of the grating might be modified due to re-adsorption of the byproducts

Page 146: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

122

of the etched material. The chemical component of SF6 may react with the TiO2

surface and re-deposit as titanium fluoride or other byproducts [200] which do not

possess any further change in dielectric constant even after a heat treatment process.

The SEM pictures reveal the presence of byproduct particles on the grating

surfaces; see for example Fig. 5.22c. The investigation on the chemical analyses of

the plasma treated surfaces can be conveniently conducted using Energy Dispersive

Spectroscopy (EDS) that was not available in the current SEM setup.

Figure 5.24: Measured resonance wavelength λr at normal incidence, with the overetching depth hs in

the fused silica substrate of fabricated RWGs with a period d = 544 nm, a linewidth w = 407 nm and

a structure height hc = 201 nm. (a) Both the TE- and TM-Modes and their effect on the non-

polarizing property of amorphous TiO2 gratings. (b) Both the TE- and TM-Modes and their effect on

the non-polarizing property of the heat-treated TiO2 gratings.

The average investigated etching rate of ALD coated amorphous thin TiO2 films on

fused silica using a total flow of 15 sccm of SF6/Ar gases is 49 nm/min, whereas 65

nm/min in fused silica substrate under the same experimental conditions. Each TiO2

waveguide grating was spectrally characterized after an additional over-etched

depth hs approximately 32 nm in the fused silica substrate, corresponding to an

etching time of 30 s. The shift in the resonance wavelength for the waveguide

gratings with an additional 30 s etch is shown in Fig. 5.24, as a plot of λr as a

function of hs. The observed resonance peak shifts both for the TE- and TM-modes

are in agreement with the ones shown in Fig. 4.16c with a parabolic fit for the TE-

and a linear fit for the TM-mode.

The experimental shift in resonance wavelength for the TE-mode is ~3 times more

than that predicted theoretically. This difference might be due to a deviation of the

Page 147: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

123

grating profile from the ideal one as was shown in Fig. 4.15. During a number of

steps in the entire fabrication process, the grating profile has slanted sidewalls

instead of ideally vertical. The angular variations in the sidewalls have an effect on

the linewidth of the structure and on the propagation constant of the excited leaky

modes by influencing the effective index of the structure. Similarly, the measured

shift in the TM-mode is more than twice the value predicted theoretically. There is

no significant difference in the measured spectral shifts of the heat treated gratings

shown in Fig. 5.24b, which might be attributed to a change in the surface chemistry,

as a result in the various physical and chemical interactions with the dielectric film.

5.6 THERMO-OPTIC COEFFICIENT (TOC) OF THIN FILM OPTICAL

MATERIALS (TiO2 AND Al2O3) FABRICATED BY ALD

In this section experimental investigation of the TOC of TiO2, Al2O3 and

combination of both films is measured and calculated over a wide wavelength region

of 380 ≤ λ ≤ 1800 nm as has already been described in section 4.4 (Chap. 4). The

fabrication of the TiO2 and Al2O3 films on silicon substrates (n-type with

phosphorous dopant) of diameter 50.80 mm, thickness 380 ± 25 μm and crystal

orientation <100> is accompanied by employing ALD. The fabrication process

started with the cleaning of silicon wafers with isopropanol (IPA), followed by a

blow drying with dry N2. Subsequent to substrate cleaning, thin amorphous films of

TiO2 and Al2O3 of different thicknesses were grown on the substrates using Beneq

TFS 200-152 ALD reactor. The commonly known precursor materials used for TiO2

and Al2O3 films were TiCl4/H2O and Al(CH3)3/H2O, respectively. We used a low

deposition temperature of 120 ºC, with chamber and reactor pressures of 6.80 mbar

and 1.59 mbar, respectively. Nitrogen (N2) was used as a carrier gas for all the

precursors and as a purging gas after each precursor pulse during an ALD cycle. The

chamber and process flows for both the TiO2 and Al2O3 films were 200 sccm

(standard cubic centimeter) and 300 sccm, respectively. The pulse durations of TiCl4

and Al(CH3)3 were 150 ms followed by a purging pulse for 750 ms. For H2O

precursor, a pulse duration of 150 ms with a subsequent purging pulse for 1 s was

applied. The growth rates of the deposited TiO2 and Al2O3 films were 0.065 and

Page 148: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

124

0.12 nm per cycle, as measured by the Dektak 150 stylus surface profilometer

(Veeco Metrology) and by an ellipsometer.

5.6.1 Experimental results of thermo-Optic coefficient of TiO2 thin films

Table 4.2 shows the experimentally measured temperature dependence of the

refractive index and the density of an ALD-grown TiO2 film of thickness t = 60 nm

at wavelength λ = 640 nm. The decrease of the refractive index with temperature

corresponds to an average value of dn/dT = −4.2 ± 0.7×10−5

°C-1

, which is of the

same order of magnitude as reported in Ref. [173] but an order of magnitude smaller

than that reported in Ref. [174]. This reflects the dependence of the thermo-optic

coefficient on the deposition technique and the substrate material. The packing

density of TiO2 films is an important parameter contributing to the index values:

denser films fabricated by ALD have a lower index gradient than films with higher

porosity, fabricated by sputtering or vacuum evaporation. This effect has already

been interpreted as resulting from the presence of hydrogenated species in the pores

of TiO2 films: heating causes replacement of water molecules with air in the pores

[201]. Due to the atomic-level deposition nature of ALD, the resulting films

inherently exhibit high density (thus being less porous) and therefore the index

gradient is relatively small. The density values given in the third column of Table

4.2 are slightly above the highest values obtained by the ion plating method reported

in Ref. [170].

All the films were fabricated at a temperature below 165 °C and thus they possess

amorphous phase characteristics [58]. Figure 5.25 shows a scanning electron

microscope picture of a cleaved amorphous TiO2 film with thickness ~200 nm,

deposited on a silicon substrate. Figure 5.26 shows the temperature-dependent

refractive index and density of all fabricated films as a function of wavelength. The

thermal coefficients dn/dT and dρ/dT are negative for the thinnest films (t = 60 nm

and t = 100 nm) but turn positive and stabilize when t is increased. This behavior is

illustrated in Fig. 5.27, which shows more explicitly the variation of the thermal

coefficients with film thickness.

Page 149: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

125

Figure 5.25: Scanning electron microscope image of a cleaved amorphous film of thickness ~200 nm

grown by ALD on a Si substrate.

Figure 5.26: Thermal and spectral dependence of the material properties of TiO2 films of variable

thicknesses: wavelength (λ) dependence of the (a) thermo-optic coefficient dn/dT. (b) Density

coefficient dρ/dT.

To understand qualitatively the thermal properties of thin TiO2 films we recall that

such films have pores close to the surface, which at room temperature are filled with

hydrogen-containing species [51,172]. Such species vaporize on rising temperature,

leaving the pores empty, and therefore the effective refractive index and density in

the porous region decrease. For thin films such a ‘depletion region’ extends through

the entire film. For thicker films (200–500 nm), desorption affects only the region

Page 150: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

126

close to the surface and gives rise to a refractive-index gradient. As the film

thickness increases, the desorption has a smaller effect on the average refractive

index and density of the film. As a result, the thermal coefficients dn/dT and dρ/dT

are positive for the film thicknesses larger than ~150 nm.

Figure 5.27: Variation of the thermal properties of TiO2 films with thickness t.

5.6.2 Experimental investigation of thermo-Optic coefficient of TiO2 thin

films in presence of thin diffusion barrier layers of ALD-Al2O3

Thin-layer barrier properties of Al2O3 films of uniform thickness on the TiO2 films

of different thicknesses were investigated by measuring and modeling the TOCs

using the method described in section 4.4.3. Figure 5.28a shows the determined

TOCs of all the films in the sample set SA(1−6). The TOCs of TiO2 films in the

presence of a thin Al2O3 barrier layer show the same behavior as was reported in our

recent publication [156]. The TOC of the thinnest TiO2 film ~60 nm is found to be

the most negative due to higher evaporation rate of H2O molecules near the surface

region. However, the effect decreases with a more positive change in TOC value as

the thickness of TiO2 films increase as shown in Fig. 5.28a. Figure 5.28b shows the

change in the TOCs of the TiO2 films of different thicknesses with a uniform thin

Page 151: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

127

barrier layer of ALD-Al2O3 (~6 nm). The thinnest TiO2 films yield an effective

response with temperature, i.e., the presence of a thin Al2O3 barrier layer ~6 nm does

not show effective impermeable properties. It has been suggested that there might

exist some pinhole defects on Al2O3 films, which cause the thermo-optic coefficient

to vary less rapidly at lower barrier layer thicknesses [183, 202]. Thus we need to

evaluate the validity of our proposed model by increasing the ALD-Al2O3 barrier

layer thickness in equal steps. Thereby, we characterized the sample set SB(1−6)

composed of six samples of the same TiO2 thickness (~60 nm) with different

thicknesses of ALD-Al2O3 barrier layers.

Figure 5.28: Thermal and spectral dependence of the thermo-optic coefficient dn/dT of TiO2 films of

various thicknesses in presence of a thin Al2O3 barrier layer of thickness ta ≈ 6 nm. (a) Wavelength

(λ) dependence of the thermo-optic coefficient dn/dT. (b) Variation of the thermo-optic coefficient

with thicknesses tt of TiO2 films at a wavelength of 640 nm.

Figure 5.29a shows the TOCs of TiO2 films of thickness ~ 60 nm coated with barrier

layers of ALD-Al2O3 of various thicknesses ta. The TOCs decrease slightly with the

increase in the Al2O3 thickness over a wide range of wavelengths λ = 380−1800 nm.

Increasing the barrier layer thickness does not change the dn/dT values much. Figure

5.29b shows the dn/dT variation with the thickness of the barrier layers at a

wavelength of 640 nm. We obtain a linear fit of the form

,1035.11044.1d

d 46 atT

n (5.1)

Page 152: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

128

where ta is the thickness of Al2O3 barrier layer and the coefficients of ta and ta0 are

expressed in units of ºC-1

nm-1

and ºC-1

, respectively.

The physical interpretation of the slope of Eq. (5.1) describes the activation energy

(per degree rise in temperature per nm) required for the water molecules to permeate

through the ALD-Al2O3 barrier layers. A requirement for higher activation energy

dictates a steep slope, which results in relatively low negative dn/dT for TiO2 films.

An overall 33 % change in the dn/dT values is determined experimentally after

reaching a barrier layer thickness of ~36 nm, which demonstrates well the

impermeable properties of the barrier layers due to impeding the evaporation of

hydrogenated species at the near surface region of TiO2 films. From Fig. 5.29, it is

evident that the barrier layers could not reveal a more significant change in the

reduction of TOCs of TiO2 thin films. This might be attributed to the existence of

some pinhole defects due to the low growth temperature. Also, the Al2O3 films most

often form the hydrate since they are amorphous and have a smaller positive Gibbs

free energy of ΔG = +6 kcal/mol at 100 ºC [183]. These limitations can be improved

by using multi-layers of dielectric materials. One important aspect of depositing thin

and amorphous Al2O3 barrier layers is to preserve the inherent characteristics of high

index optical quality thin TiO2 films used in waveguide applications. Too thick

barrier layers may deteriorate the optical properties of TiO2 thin films in terms of

scattering of the optical signal due to crack formation owing to the fragile nature of

the material [183].

Comparing our results with Al2O3 diffusion barrier layers in terms of TOC with the

already reported results for the water vapor transmission rates [185], both show

almost similar improvement of the impermeable properties of Al2O3 barrier layers.

The reported results showed that the water vapor transmission rate reduces with an

increase of the thickness of Al2O3 films. On the other hand, our results demonstrate

that with the increase of Al2O3 thickness the negative value of TOC of TiO2 film

decreases mainly because of the barrier properties of Al2O3 films and the inherently

positive value of the TOC of the Al2O3 films. On the other hand, the TOC of TiO2

films changes its sign when the thickness of the TiO2 films increases. This might

Page 153: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

129

cause instabilities in the performance of optical devices, or it may be an advantage in

filtering applications in integrated optical technology.

Figure 5.29: Thermal and spectral dependence of the thermo-optic coefficient dn/dT of TiO2 films of

a fixed thickness tt ≈ 60 nm in the presence of Al2O3 barrier layers of various thicknesses: (a)

Wavelength (λ) dependence of the thermo-optic coefficient dn/dT. (b) Variation of the thermo-optic

coefficient with thickness ta of Al2O3 barrier layers at a wavelength of 640 nm.

5.7 INTERPRETATION

The simulated and experimentally measured spectra shown in sections 4 and 5 possesses

slightly different spectral peak positions and full width at half maxima (FWHM), primarily

because of the variations in the surface profile shape, dimensions and true refractive indices

of the materials. The fabricated resonant gratings surface profile varies because there are

several fabrication steps involved. The dimensions of the fabricated structures are made as

close to the one assumed in the ideal profile of the design shown in Figs. 4.1, 4.9 and 4.15.

However, the profile is not quite ideal mainly because of rounding of the edges of the

polycarbonate grating that might occur either during the master stamp fabrication or nano-

imprinting. The SEM figures show that the TiO2 growth follows the polycarbonate profile

conformably which is inherent to the ALD process. Secondly, in the measured spectra the

off-resonance points do not cross the zero-line that is present in theoretical curves.

Furthermore, a slight variation in the dimensional parameters of the profile (h,w,t) and

refractive indices of materials might result to a spectral shift. Thirdly, figures 5.7, 5.8, 5.12,

5.13 and 5.14 show the spectral wavelength shift Δλ as a function of temperature T which is

illustrated as discrete steps with a fraction of nanometer step. The spectral shifts in these

discrete steps might arise due to analytical resolution of the measuring equipment.

Page 154: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

130

Chapter 6: Conclusions

This thesis provides two-fold optical demonstrations: that is the resonant waveguide

gratings RWGs structures and thermo-optic coefficients of thin films with a new

perspective to employ to nano-optical devices as efficient sensors in a number of

applications. The RWGs with simple geometrical structures have been investigated

in a variety of organic and inorganic materials using the most simple and cost-

effective methods with the impact of atomic layer deposition in these devices.

The fabrication and replication of nanophotonic components with subwavelength

features in polymeric materials is the primary goal and is the most promising

technology to make narrow linewidth resonant filters that are efficient, reliable,

environmentally stable, low-cost and facilitate large scale production. In general,

replication process is an economic process that requires a master stamp (mold) that

is quite often fabricated together by electron-beam lithography (EBL) and reactive

ion etching (RIE) which may raise cost, inaccuracies and reduce efficiency and

performance. In this research work the master stamp is prepared by EBL, employing

a binary negative electron beam resist, Hydrogen silsesquioxane (HSQ) without

carrying out an etch action. The replicated profile of the RWGFs with an appropriate

structure depth is obtained successfully by selecting a suitable spinning speed for the

required coating, development time of resist for the preparation of master stamp on

silicon substrate i.e., the grating patterns were written on the HSQ layer by e-beam

exposure. After a development process, RIE step is replaced by a heat treatment of

the HSQ resist where the mechanical properties of HSQ resist such as density,

hardness were improved. During heat treatment process, the HSQ segmental chain

geometry transforms from a cage to a network without any phase change in the non-

crystalline behavior of the resist. The cage to network transformation shows SiO2

properties which are suitable to be used as a direct master stamp for polymers.

Omitting the etching process not only brings down the fabrication costs but also

reduces the inaccuracies in the depth of the fabricated structures more appropriately.

The reported simple etch free process provides fast prototyping of nano scale optical

devices with a short processing time and high pattern imprint fidelity, high quality

Page 155: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

131

optical performance, and wide applicability to large scale production. The fabricated

master stamp is employed to replicate subwavelength grating structures in polymers

with different applications depending on the requirement.

The replicated structures in polymers form a hybrid organic-inorganic resonant

waveguide grating filter with the use of atomic layer deposition to grow a thin layer

of TiO2 as the waveguide layer. This is a low-cost fabrication method, which is

suitable for large-scale production. Both theoretical simulations and experiments

show that nearly athermal operation can be achieved with such filters despite the

large values of thermo-optic and thermal expansion coefficients of the polymer

substrate. The operation of these filters was described with an empirical two-

coefficient-parameter model constituting thermal expansion and thermo-optic

coefficients. This is a result of opposite signs of spectral resonance-peak shifts

caused by the two effects incorporated as two-coefficient-model.

The use of high index dielectric TiO2 films as a superstrate or formation of

multilayered structures that are influenced strongly by environmental factors such as

heat, humidity that results in a spectral shift based on varying refractive index with

temperature. Such environmentally influenced spectral shifts were counter-balanced

by exploiting high thermal expansion (almost 10 times more than that of dielectrics)

optical polymeric materials. Moreover, the obtained results dictate that even more

exact athermalization could be achieved using, e.g., polymers with slightly lower

thermal expansion coefficients. This would be of interest for filter designs with

substantially narrower resonance reflection band (in the 1 nm range or less). The

main thermal limitation of organic substrates is the reduction of resonance-peak

reflectance at high temperatures, but this effect was found to be reversible in the

sense that temporary presence at temperatures up to 85 °C does not destroy the

device permanently.

The proposed approach for athermal organic-inorganic RWGs has applications in

various fields. For each application there are specific issues that need to be taken

into account when designing the device. For example, in medical diagnostics the

analyte is often a liquid, which means that the TOC of the liquid, acting as the

superstrate of the RWGs, has to be included in the design. On the other hand, these

Page 156: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

132

types of diagnostic devices are typically used in a laboratory environment, which

means that athermal operation within less than ± 5 °C would be more than enough.

Furthermore, the study of replicated athermal waveguide grating filters was

extended by employing different polymer materials as substrates.

Three resonant grating filters were designed, fabricated and characterized for the

operation as athermal devices using different polymer materials. The thermal

spectral stability of these filters was compared by theoretical calculations and

experimental measurements. For polycarbonate gratings, there is a good agreement

between calculated and measured results with a net spectral shift of 0.8 nm over 75-

degree-wide temperature range. The measured results for gratings with cyclic-olefin-

copolymer (COC) and Ormocomp® substrates showed larger spectral shifts towards

longer wavelengths than predicted by theoretical calculations. The deviation (0–1.5

nm) for the COC grating was explained by high modulus and inherent stresses which

were relaxed during heating and accompanied with the predominance of the thermal

expansion coefficient. The Ormocomp® gratings were subjected to uv-irradiation,

causing the generation of compressive (shrinkage) strains, which were relieved on

heating with a net result of expansion of material, demonstrated by thermal spectral

shifts towards longer wavelengths (0–2.5 nm). The spectral shifts might also be

caused partially by the reorientation of the polymer chains.

RWG filters based on one-dimensional (1D) periodic gratings usually have strong

polarization dependence, which is useful for polarizing filtering applications.

However, in certain applications such as dense wavelength division multiplexing,

polarization-insensitive filters are highly desirable due to the unknown polarization

state of light emerging from optical fibers. In this research, we presented the design

and fabrication of two types of 1D RWGs with simple geometries, which

demonstrate polarization-insensitive resonance gratings phenomena under normal

incidence. The Type-I single-layer rectangular-profile TiO2 grating (TiO2 grating on

fused silica substrate) is fabricated by employing ALD, EBL, and RIE techniques,

which shows almost perfect non-polarizing property. The Type-II double-layer

binary grating (replicated in polycarbonate and coated by TiO2) is a TiO2-coated

polycarbonate grating manufactured by nanoimprinting and ALD, without any

Page 157: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

133

etching process. Both types of 1D non-polarizing resonance gratings are realized for

the first time in experiment so far, which show the potential of low-cost mass

production of such functional devices for practical applications, for example, in

enhancing fluorescence in biosensors and many other applications depending on the

requirements. The non-polarizing gratings are designed by tailoring, adjusting and

engineering the grating parameters such that simultaneous excitation of TE- and

TM-modes occur at the same resonance wavelength due to exhibiting similar

propagation constants at resonance. Furthermore, it was observed that degeneracy of

TE- and TM-modes of such non-polarizing properties are strongly dependent on the

effective depth of the substrate material and both modes split due to even a slight

over-etch depth in fused silica.

For such studies, TiO2 subwavelength RWGs and thin TiO2 films of thicknesses

∼200 nm were fabricated on fused silica substrates by ALD. Various TiO2

waveguide gratings were fabricated by dry etching of TiO2 thin films to the substrate

surface and with a subsequent over-etching into the substrate to different depths by

increasing the etching times in equal intervals of 30 s under the same conditions.

The fabricated waveguide gratings and TiO2 thin films were heat treated at 300°C

for 7 h to induce a phase transformation from amorphous (as-deposited) to

crystalline (anatase), which was confirmed by XRD patterns. An excellent

agreement in the theoretical and experimental measurements was shown for the TE-

and TM-modes of the non-polarizing RWGs. Furthermore, an agreement in the

behavior of theoretical and experimental measurements of the spectral shifts in

resonance wavelength due to over-etching in the fused silica substrate was shown to

obey a parabolic fit for TE-modes and a linear fit for TM-modes. The magnitudes of

the measured spectral shifts were, however, ∼2–3 times more than those calculated

theoretically, which might be due to a change in the grating profile after the

fabrication process. Refractive indices of amorphous and crystalline (anatase) phases

of TiO2 films were measured as 2.32 and 2.43, respectively, at a wavelength of 850

nm, and were used in the simulation of the heat-treated TiO2 gratings. The measured

spectra of the heat-treated gratings could not reveal significant spectral shifts, as was

calculated theoretically. This discrepancy might be due to a change in the surface

Page 158: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

134

chemistry after RIE and plasma treatment and re-deposition of the reaction

byproducts on the grating surfaces.

The other goal of this study was to investigate the thermo-optic coefficients of the

optical materials such as Ormocomp®, ALD-TiO2, ALD-Al2O3 and ALD-TiO2-

Al2O3 films. The thermo-optic coefficient of uv-curable material Ormocomp® was

investigated in the wavelength range of 380–1000 nm by three methods. Methods 1

and 3 were based on analytical models, gave values of dn/dT = -1.02 × 10-4

°C-1

and

-0.81 × 10-4

°C-1

, respectively. Method 2 was based on experimentally measured

refractive indices of Ormocomp®, followed by modeling. It provided a value of

dn/dT = -1.27 × 10-4

°C-1

, which was used for the athermal analysis of polymeric

RWGs.

ALD provides several advantages in all kinds of filtering applications as a unique

thin film deposition method with atomic level control of film uniformity, thickness,

composition and coverage of corrugated surface profiles. In light guiding devices or

in filter elements, especially at least with a thin cover layer on corrugated surface

profiles, high index and amorphous dielectric materials have stringent requirements

to result in strong coupling effects. In this study, thin TiO2 films as cover layers on

various polymeric materials are deposited with strong adhesion by ALD method and

an exclusive study was performed to measure the thermo-optic coefficient of films

with different thicknesses.

Temperature dependent property i.e., thermo-optic coefficient which vary with film

thickness are explained qualitatively by the porosity in the microstructure during

film growth. The growing films have surface pores which are filled with hydrogen

containing species (H2O, OH). On rising temperature these species de-adsorbed

from the surface leaving behind empty pores, resulting in a decrease in effective

refractive index and film density. This may be attributed to the fact that the porosity

effect is a surface phenomenon, where a depletion region is formed. For thinner

films (t ≤ 150 nm), such depletion region is extended throughout the entire film

thickness, whereas it remains close to the surface regions for thicker films (t ≥ 150

nm). Thus, the desorption effect occurs very close to the surface regions of thick

films (200–500 nm), resulting in a relatively low positive index and density

Page 159: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

135

temperature gradients. The thermo-optic coefficients of thin TiO2 films, deposited

by different techniques were different due to dissimilar microstructure and thermal

properties. For example, thin TiO2 films deposited by electron beam evaporation

possess negative values of dn/dT, which was an order of magnitude larger than the

films fabricated by plasma enhanced chemical vapor deposition (PECVD) and ALD,

however, we reported first time the interesting result of variation of dn/dT values

with film thicknesses. The observed porosity model in this study was investigated

one step ahead to cover TiO2 surfaces with diffusion barrier ALD-Al2O3 films to act

as impermeable covers for water molecules.

Thermo-optic coefficients of ALD-TiO2 films on Si substrates, in the presence of

ALD-Al2O3 barrier layers, have been determined to evaluate the porosity model at

the near surface region of TiO2 films. The TOC values of TiO2 films of different

thicknesses with thin ALD-Al2O3 (~ 6 nm) barrier layers were determined after

modeling based on Lorentz-Lorenz relation. The TOCs of TiO2 with different

thicknesses showed different values, particularly the thinnest TiO2 films with the

most effective response due to development of a widespread depletion region

(porosity region) throughout the thickness, despite the presence of thin Al2O3 barrier

layers. Owing to the significantly large negative TOCs of thin TiO2 films (tt ≤ 100

nm), they are further coated by Al2O3 barrier layers with a gradual increase in the

thickness to observe the response of depletion region through impermeable layer.

The negative TOCs of thin TiO2 films decrease gradually with a regular increase in

the thickness of the Al2O3 barrier layers; a linear relation gives a good fit. The

significant reduction in the negative TOC values of the thin TiO2 films could not be

revealed after ALD-Al2O3 barrier layers of thickness ta = ~ 36 nm. However, a 33 %

reduction is determined at this barrier layer thickness. This might be attributed to the

existence of few pinhole defects on ALD-Al2O3 barrier layers or strong dominance

effect of widespread depletion region underneath the barrier layers.

The TOCs of the ALD-Al2O3 films of various thicknesses were determined over a

wide spectral range 380 ≤ λ ≤ 1800 nm and illustrated in detail at a wavelength of

640 nm. The Al2O3 films were demonstrated to exhibit positive TOCs regardless of

the film thickness and significantly depend on the operating temperatures. This

Page 160: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

136

might be attributed to the slow decrease in the density of the thin ALD-Al2O3 films

rather than that of the bulk material that results in positive TOCs. The

aforementioned experimental results demonstrated that the TOCs of both the TiO2

and Al2O3 thin films are almost of the same magnitude and opposite in signs. Such

properties depicting the reverse nature of TOCs of both optical grade ALD-TiO2 and

ALD-Al2O3 films are suitable to be implemented in multilayer stacked inorganic or

hybrid organic-inorganic athermal waveguide and narrowband filtering device

applications.

In future, the research on polymeric resonant grating filters could be extended to

medical diagnostics, replacing air by an analyte which most often exists in liquid

form. The TOC of the analyte may provide necessary qualitative information used

for diagnostic purposes in terms of the spectral shift due to change of refractive

index because of variation of composition of constituents. The spectral shifts can

also be accounted for the measurements of residual stresses in the materials. The

residual stresses could be created in the materials intentionally or during

manufacturing which leads to a change of refractive index and finally appears as

spectral shifts. Another interesting application of these filters is to predict the

angular displacements of aerospace vehicles in terms of the spectral shifts since the

polymeric waveguide filters may be impregnated within the composite structure of

aerospace vehicles.

Page 161: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

137

References

[1] I. Newton, Opticks: or a Treatise of the Reflexion, Refraction, Inflexions and Colours (Royal Society,

1704).

[2] T. Young, “Experimental demonstration of the general law of the interference of light,” Philos. T. Roy.

Soc. 94, 1-16 (1804).

[3] D. Halliday, R. Resnick, and J. Walker, Fundamental of Physics, (Wiley, New Jersey, 2011).

[4] D. J. Griffiths, Introduction to electrodynamics, (Prentice-Hall, Englewood Cliffs, New Jersey, 1989)

[5] G. Breit, “Quantum theory of dispersion,” Rev. Mod. Phys. 4, 504-576 (1932).

[6] H. A. Lorentz, The theory of electrons and its applications to the phenomena of light and radiant heat

(B. G. Teubner, Leipzig, 1916).

[7] J. Jahns and K. H. Brenner, eds., Micro-Optics: From technology to applications, (Springer, New

York, 2004).

[8] J. Turunen and F. Wyrowski, eds., Diffractive optics for industrial and commercial applications,

(Akademie Verlag, Berlin, 1997).

[9] H. Kikuta, H. Toyota, and W. Yu, “Optical elements with sub-wavelength structured surfaces,” Opt.

Review 10, 63-73 (2003).

[10] F. L. Pedrotti, S. J., and L. S. Pedrotti, Introduction to Optics, (Prentice-Hall, Inc., new Jersey, 1993).

[11] J. A. Dobrowolski, A. V. Tikhonravov, M. K. Trubetskov, B. T. Sullivan, and P. G. Verly, “Optimal

single-band normal incidence antireflection coating,” Appl. Opt. 35, 644-658 (1996).

[12] E. Spiller, “Totally reflecting thin-film phase retarders,” Appl. Opt. 23, 3544-3549 (1984).

[13] H. A. Macleod, Thin-Film Optical filters (Institute of Physics, Bristol, 2001).

[14] O. Stenzel, The Physics of thin film optical spectra an introduction, (Springer, Berlin, 2005).

[15] A. Hessel and A. A. Oliner, “A new theory of Wood’s anomalies on optical gratings,” Appl. Opt. 4,

1275-1297 (1965).

[16] S. S. Wang, R. Magnusson, J. S. Bagby, and M. G. Moharam, “Guided-mode resonances in planar-

dielectric-layer diffraction gratings,” J. Opt. Soc. Am. A 7, 1470-1475 (1990).

[17] S. Tibuleac and R. Magnusson, “Reflection and transmission guided-mode resonance filters,” J. Opt.

Soc. Am. 14, 1617-1626 (1997).

[18] J. Saarinen, E. Noponen, and J. Turunen, “Guided-mode resonance filters of finite aperture,” J. Opt.

Engineering 34, 2560-2566 (1995).

[19] R. Magnusson and S. S. Wang, “New principle for optical filters,” Appl. Phys. Lett. 61, 1022-1024

(1992).

[20] T. Tamir and S. Zhang, “Resonant scattering by multilayered dielectric gratings,” J. Opt. Soc. Am. A

14, 1607-1616 (1997).

[21] R. Magnusson and S. S. Wang, “Transmission bandpass guided mode resonance filters,” Appl. Opt.

34, 8106-8109 (1995).

[22] C. Wei, S. Liu, D. Deng, J. Shen, J. Shao, and Z. Fan, “Electric field enhancement in guided-mode

resonance filters,” Opt. Lett. 31, 1223-1225 (2006).

[23] T. Sun, Y. Jin, J. Shao, and Z. Fan, “Guided-mode resonances in multimode planar periodic

waveguides,” Chin. Opt. Lett. 8, 557-559 (2010).

[24] G. A. Golubenko, A. S. Svakhin, and V. A. Sychugov, “Diffraction characteristics of planar

corrugated waveguides,” Opt. and Quantum Electron. 18, 123-128 (1986).

[25] G. A. Golubenko, A. S. Svakhin, V. A. Sychugov, and A. V. Tishchenko, “Total reflection of light

from a corrugated surface of a dielectric waveguide,” Sov. J. Quantum Electron. 15, 886-887 (1985).

[26] I. A. Avrutsky, G. A. Golubenko, V. A. Sychugov, and A. V. Tishchenko, “Spectral and laser

characteristics of a mirror with a corrugated waveguide on its surface,” Sov. J. Quantum Electron. 16,

1063-1065 (1986).

[27] E. Popov, L. Mashev, and D. Maystre, “Theoretical study of the anomalies of coated dielectric

gratings,” Opt. Acta 33, 607-619 (1986).

[28] R. W. Wood, “On a remarkable case of uneven distribution of light in a diffraction grating

spectrum,” Philos. Mag.sdg 4, 396-402 (1902).

[29] S. S. Wang and R. Magnusson, “Theory and applications of guided-mode resonance filters,” Appl.

Opt. 32, 2606-2613 (1993).

Page 162: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

138

[30] S. M. Norton, T. Erdogan, and G. M. Morris, “Coupled-mode theory of resonant-grating filters,” J.

Opt. Soc. Am A 14, 629-639 (1997).

[31] S. Tibuleac and R. Magnusson, “Narrow-linewidth bandpass filters with diffractive thin-film layers,”

Opt. Lett. 26, 584-586 (2001).

[32] I. Abdulhalim, M. Auslender, and S. Hava, “Resonant and scatterometric grating-based nanophotonic

structures for biosensing,” J. nanophotonics 1, 011680, 1-13 (2007).

[33] R. Magnusson, D. Wawro, S. Zimmerman, and Y. Ding, “Resonant photonic biosensors with

polarization-based multiparametric discrimination in each channel,” Sensors 11, 1476-1488 (2011).

[34] B. Cunningham, B. Lin, J. Qui, P. Li, J. Pepper, and B. Hugh, “A plastic colorimetric resonant

optical biosensor for multiparallel detection of label-free biochemical interactions,” Sens. Actuators 85,

219-226 (2002).

[35] P. Karvinen, T. Nuutinen, J. Rahomäki, O. Hyvärinen, and P. Vahimaa, “Strong fluorescence-signal

gain with single-excitation-enhancing and emission-directing nanostructured diffraction grating,”Opt.

Lett. 34, 3208-3210 (2009).

[36] T. Alasaarela, D. Zheng, L. Huang, A. Priimagi, B. Bai, A. Tervonen, S. Honkanen, M. Kuittinen,

and J. Turunen, “Single layer one-dimensional nonpolarizing guided-mode resonance filters under normal

incidence,” Opt. Lett. 36, 2411-2413 (2011).

[37] J. R. Marciante, J. I. Hirsh, D. H. Raguin, and E. T. Prince, “Polarization-insensitive high dispersion

total internal reflection diffraction gratings,” J. Opt. Soc. Am. A 22, 299-305 (2005).

[38] H. Cao, C. Zhou, J. Feng, P. Lu, and J. Ma, “Design and fabrication of a polarization-independent

wideband transmission fused-silica grating,” Appl. Opt. 49, 4108-4112 (2010).

[39] D. Lacour, G. Granet, J.-P. Plumey, and A. Mure-Ravaud, “Polarization independence of a one

dimensional grating in conical mounting,” J. Opt. Soc. Am. A 20, 1546-1552 (2003).

[40] G. Niederer, W. Nakagawa, and H. P. Herzig, “Design and characterization of a tunable polarization-

independent resonant grating filter,” Opt. Express 13, 2196-2200 (2005).

[41] V. M. N. Passaro, and M. N. Armenise, “High efficiency GaAs-based waveguide gratings for

polarization-insensitive outcoupling,” J. Opt. Soc. Am. A 11, 3220-3223 (1994).

[42] X. Fu, K. Yi, J. Shao, and Z. Fan, “Nonpolarizing guided-mode resonance filter,” Opt. Lett. 34, 124-

126 (2009).

[43] D. W. Peters, R. R. Boye, J. R. Wendt, R. A. Kellogg, S. A. Kemme, T. R. Carter, and S. Samora,

“Demonstration of polarization-independent resonant subwavelength grating filter arrays,”Opt. Lett. 35,

3201-3203 (2010).

[44] A.-L. Fehrembach and A. Sentenac, “Unpolarized narrow-band filtering with resonant gratings,”

Appl. Phys. Lett. 86, 121105 (2005).

[45] I. A. Avrutsky, A. S. Svakhin, and V. A. Sychugov, “Interference phenomena in waveguides with

two corrugated boundaries,” J. Modern Opt. 36, 1303-1320 (1989).

[46] J. M. Bennett, E. Pelletier, G. Albrand, J. P. Borgogno, B. Lazarides, C. K. Carniglia, R. A. Achmell,

T. H. Allen, T. T. Hart, K. H. Guenther, and A. Saxer, “Comparison of the properties of titanium dioxide

films prepared by various techniques,” Appl. Opt. 28, 3303-3317 (1989).

[47] L. M. Williams and D. W. Hess, “Structural properties of titanium dioxide films deposited in an rf

glow discharge,” J.Vac. Sci. Technol A 1, 1810-1819 (1983).

[48] W. G. Lee, S. I. Woo, J. C. Kim, S. H. Choi, and K. H. Oh, “Preparation and properties of amorphous

TiO2 thin films by plasma enhanced chemical vapor deposition,” Thin solid films 237, 105-111 (1994).

[49] G. A. Battiston, R. Gerbasi, A. Gregori, M. Porchina, S. Cattarin, and G. A. Rizzi, “PECVD of

amorphous TiO2 thin films: effect of growth temperature and plasma gas composition,” Thin solid films,

371, 126-131 (2000).

[50] D. Mergel, D. Buschendorf, S. Eggert, R. Grammes, and B. Samset, “Density and refractive index of

TiO2 films prepared by reactive evaporation,” Thin solid films 371, 218-224 (2000).

[51] K. Bange, C. R. Ottermann, O. Anderson, and U. Jeschkowski, “Investigations of TiO2 films

prepared by different techniques,” Thin solid films, 197, 279-285 (1991).

[52] L. J. Meng, V. Teixeira, H. N. Cui, F. Placido, Z. Xu, and M. P. d. Santos, “A study of the optical

properties of titanium oxide films prepared by dc reactive magnetron sputtering,” Appl. Surf. Sci. 252,

7970-7974 (2006).

[53] M. Zhang, G. Lin, C. Dong, and L. Wen, “Amorphous TiO2 films with high refractive index

deposited by pulsed bias arc ion plating,” Surf. Coat. Technol. 201, 7252-7258 (2007).

Page 163: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

139

[54] M. Leskelä and M. Ritala, “Atomic layer deposition (ALD): from precursors to thin film structures,”

Thin solid films 409, 138-146 (2002).

[55] H. S. Nalwa, Handbook of Thin Film Materials: Deposition and processing of thin films (Academic

Press, San Diego, 2002).

[56] R. A. Fisher, Precursor Chemistry of Advanced Materials CVD, ALD and Nanoparticles (Springer,

Berlin, 2005).

[57] Z. S. Liu, S. Tibuleac, D. Shin, P. P. Young, and R. Magnusson, “High-efficiency guided-mode

resonance filter,” Opt. Lett. 23, 1556-1558 (1998).

[58] J. Aarik, A. Aidla, T. Uustare, and V. Sammelselg, “Morphology and structure of TiO2 thin films

grown by atomic layer deposition,” J. Cryst. growth 148, 268-275 (1995).

[59] G. Triani, J. A. Campbell, P. J. Evans, J. Davis, B. A. Latella, and R. P. Burford, “Low temperature

atomic layer deposition of titania thin films,” Thin solid films 518, 3182-3189 (2010).

[60] M. R. Saleem, P. Stenberg, T. Alasaarela, P. Silfsten, M. B. Khan, S. Honkanen, and J. Turunen,

“Towards athermal organic-inorganic guided mode resonance filters,” Opt. Express 19, 24241-24251

(2011).

[61] M. R. Saleem, P. A. Stenberg, M. B. Khan, Z. M. Khan, S. Honkanen, and J. Turunen, “Hydrogen

silsesquioxane resist stamp for replication of nanophotonic components in polymers,” J. Micro/Nanolith.

MEMS MOEMS, 11, 013007-1 (2012).

[62] M. R. Saleem, M. B. Khan, Z. M. Khan, P. A. Stenberg, T. Alasaarela, S. Honkanen, B. Bai, J.

Turunen, and P. Vahimaa, “Thermal behavior of waveguide gratings,” Proc. of SPIE, 8069 80690A-1

(2011).

[63] M. R. Saleem, P. A. Stenberg, M. B. Khan, Z. M. Khan, S. Honkanen, and J. Turunen, “HSQ resist

for replication stamp in polymers,” Proc. of SPIE, 8249 82490G-1 (2012).

[64] M. R. Saleem, S. Honkanen, and J. Turunen, “Partially athermalized waveguide gratings,” Proc. of

SPIE, 8428 842817-1 (2012).

[65] H. J. Levinson, Principles of Lithography, Second Edition (SPIE press, Bellingham, Washington

USA, 2005)

[66] J. R. Sheats, Microlithography: Science and Technology (Marcel Dekker, New York, 1998).

[67] M. A. McCord and M. J. Rooks, “Electron beam lithography,” in handbook of Microlithography,

Micromachining, and microfabrication. Volume 1: Microlithography, P. Rai-Choudhury, ed. (SPIE Press,

Washington, 1997), 139-250.

[68] F. Nikolajeff, S. Jacobsson, S. Hard, A. Billman, L. Lundbladh, and C. Lindell, “Replication of

continuous-relief diffractive optical elements by conventional compact disc injection-molding

techniques,” Appl. Opt. 36, 8481-8488 (1997).

[69] A. A. Busnaina, ed., Nanomanufacturing Handbook (CRC press/Taylor & Francis, Boca Raton,

2007).

[70] C. A. Harper, ed., Modern Plastics Handbook (McGraw-Hill, New York, 2000).

[71] D. Bucknall, ed., Nanolithography and patterning techniques in microelectronics (CRC Press,

Cambridge, 2005).

[72] H. P. Herzig, ed., Micro-optics: Elements, Systems and Applications (Taylor & Francis, London,

1997).

[73] R. W. Jaszewski, H. Schift, J. Gobrecht, and P. Smith, “Hot embossing in polymers as a direct way to

pattern resist,” Microelectronic Engineering 41/42, 575-578 (1998).

[74] K. Mönkkönen, J. Hietala, P. Pääkkönen, E. J. Pääkkönen, T. Kaikuranta, T. T. Pakkanen, and T.

Jääskeläinen, “Replication of sub-micron features using amorphous thermoplastics,” Poly. Engg. Sci. 42,

1600-1608 (2002).

[75] K. Mönkkönen, J. Lautanen, V. Kettunen, V.-P. Leppänen, T. Pakkanen, and T. Jääskeläinen,

“Replication of an antireflecting element in COC plastics using a hot embossing technique,” J. Mater.

Chem. 10, 2634-2636 (2000).

[76] A. C. Liou and R. H. Chen, “Injection molding of polymer micro and sub-micron structures with high

aspect ratios,” Int. J. Adv. Manuf. Technol. 28, 1097-1103 (2006).

[77] Z. Cui, ed., Nanofabrication (Springer, New York, 2008).

[78] V. Kalima, J. Pietarinen, S. Siitonen, J. Immonen, M. Suvanto, M. Kuittinen, K. Mönkkönen, and T.

T. Pakkanen, “Transparent thermoplastics: Replication of diffractive optical elements using micro-

injection molding,” Opt. Mater. 30, 285-291 (2007).

Page 164: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

140

[79] S. Siitonen, J. Pietarinen, P. Laakkonen, K. Jefimovs, and M. Kuittinen, “Replicated polymer light

guide interconnector with depth modified surface relief grating couplers,” Optical Review 14, 304-309

(2007).

[80] M. Worgull, Hot embossing: Theory and Technology of Microreplication (Elsevier, Oxford, 2009).

[81] C. Elsner, J. Dienelt, and D. Hirsch, “3D-microstructure replication processes using UV-curable

acrylates,” Microelectron. Engg. 65, 163-170 (2002).

[82] T. Okamoto, M. Mori, T. Karasawa, S. Hayakawa, I. Seo, and H. Sato, “Ultraviolet-cured polymer

microlens arrays,” Appl. Opt. 38, 2991-2996 (1999).

[83] L. Mandel and E. Wolf, Optical coherence and quantum optics (Cambridge University Press,

Cambridge, 1995).

[84] J. C. Maxwell, A Treatise on electricity and magnetism (Dover, New York, 1954).

[85] L. D. Landau and E. M. Lifshitz, Electrodynamics of continuous media (Pergamon Press, Oxford,

1984).

[86] G. B. Arfken and H. J. Weber, Mathematical Methods for Physicists (Harcourt Academic Press,

Oxford, 1984).

[87] M. G. Moharam, E. B. Grann, and D. A. Pommet, “Formulation for stable and efficient

implementation of the rigorous coupled-wave analysis of binary gratings,” J. Opt. Soc. Am. A 12, 1068-

1076 (1995).

[88] M. G. Moharam, D. A. Pommet, and E. b. Grann, “Stable implementation of the rigorous coupled-

wave analysis for surface-relief gratings: enhanced transmittance matrix approach,” J. Opt. Soc. Am. A 12,

1077-1086 (1995).

[89] L. Li, “Use of Fourier series in the analysis of discontinuous period structures,” J. Opt. Soc. Am. A

13, 1870-1876 (1996).

[90] L. Li, “New formulation of the Fourier modal method for crossed surface-relief gratings,” J. Opt.

Soc. Am. A 14, 2758-2767 (1997).

[91] H. Kim, J. Park, and B. Lee, Fourier Modal Method and its Applications in Computational

Nanophotonics (CRC Press, Boca Raton, 2012).

[92] L. Li, “Formulation and comparison of two recursive matrix algorithms for modeling layered

diffraction gratings,” J. Opt. Soc. Am. A 13, 1024-1035 (1996).

[93] L. Li, “Note on the S-matrix propagation algorithm,” J. Opt. Soc. Am. A 20, 655-660 (2003).

[94] G. A. Golubenko, V. A. Sychugov, and A. V. Tishchenko, “The phenomenon of full external

reflection of light from the surface of a corrugated dielectric waveguide and its use in narrow band

filters,” Sov. Phys. Lebedev. Inst. Rep. 11, 36-40 (1985).

[95] D. Avrutskii, G. A. Golubenko, V. A. Sychugov, and A. V. Tishchenko, “Light reflection from the

surface of a corrugated waveguide,” Sov. Tech. Phys. Lett. 11, 401-402 (1985).

[96] E. G. Loewen and E. Popov, Diffraction gratings applications (Marcel Dekker, New York, 1997).

[97] D. Rosenblatt, A. Sharon, and A. A. Friesem, “Resonant grating waveguide structures,” J. Quantum

Electron 33, 2038-2059 (1997).

[98] M. Leskelä and M. Ritala, “Atomic layer deposition chemistry: Recent developments and future

challenges,” Angrew, Chem. Int. Ed. 42, 5548-5554 (2003).

[99] D. A. Buck and K. Shoulders, “Proceedings of Eastern joint computer conference,” New York, p-55

(1957).

[100] T. Ito and S. Okazaki, “Pushing the limits of lithography,” Nature 406, 1027-1031 (2000).

[101] K. Suzuki and B. W. Smith, edu., Microlithography Science and Technology, (CRC Press, Taylor

and Francis Group, Boca Raton, 2007).

[102] S. Rizvi, ed., Handbook of Photomask manufacturing Technology, (CRC Press, Taylor and Francis

Group, Boca Raton, 2005).

[103] A. A. Tseng, ed., Nanofabrication Fundamentals and Applications, (World Scientific Publishing

Co. Pte. Ltd, Singapore, 2008).

[104] V. Sidorkin, A. V. Run, A. V. Langen-Suurling, A. Grigorescu, and E. van der Drift, “Towards 2–

10 nm electron-beam lithography: A quantitative approach,” Microelectron. Engg. 85, 805-809 (2008).

[105] R. F. Egerton, Physical Principles of Electron Microscopy: An Introduction to TEM, SEM and AEM

(Springer Science+Business Media, Inc., New York, 2005).

[106] J. N. Helbert, ed., Handbook of VLSI microlithography: Principles, tools, technology and

applications (Noyes Publications, New York, 2001).

Page 165: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

141

[107] S. Yasin, D. G. Hasko, and H. Ahmed, “Fabrication of < 5 nm width lines in

poly(methylmethacrylate) resist using a water: isopropyl alcohol developer and ultrasonically-assisted

development,” Appl. Phys. Letts. 78, 2760-2762 (2001).

[108] J. Laukkanen, Fabrication of metallic micro- and nanostructures for optical solutions, PhD thesis

(University of Eastern Finland, Department of Physics and Mathematics, Joensuu, Finland, 2010).

[109] W. J. Dauksher, D. Mancini, K. Nordquist, D. J. Resnick, P. Hudek, D. Beyer, T. Groves, and O.

Fortagne, “Fabrication of step and flash imprint lithography templates using a variable shaped-beam

exposure tool,” Microelectron. Engg. 75, 345-351 (2004).

[110] I. B. Baek, J. H. Yang, W. J. Cho, C. G. Ahn, K. Im, and S. Lee, “Electron beam lithography

patterning of sub-10 nm line using hydrogen silsesquioxane for nanoscale device applications,” J. Vac.

Sci. Technol. B 23, 3120-3123 (2005).

[111] H. Namatsu, Y. Takahashi, K. Yamazaki, T. Yamaguchi, M. Nagase, and K. Kurihara, “Three-

dimensional siloxane resist for the formation of nanopatterns with minimum linewidth fluctuation,” J.

Vac. Sci. Technol. B 16, 69-76 (1998).

[112] M. R. Saleem, D. Zheng, B. Bai, P. Stenberg, M. Kuittinen, S. Honkanen, and J. Turunen,

“Replicable one-dimensional non-polarizing guided mode resonance gratings under normal incidence,”

Opt. Express 20, 16974-16980 (2012).

[113] A. E. Grigorescu, M. C. van der Krogt, C. W. Hagen, and P. Kruit, “10 nm lines and spaces written

in HSQ using electron beam lithography,” Microelectron. Eng. 84, 822-824 (2007).

[114] S. Choi, M. Yan, L. Wang, and I. Adesida, “Ultra-dense hydrogen silsesquioxane (HSQ) structures

on thin silicon nitride membranes,” Microelectron. Engg. 86, 521-523 (2009).

[115] N. Gadegaard and D. McCloy, “Direct stamp fabrication for NIL and hot embossing using HSQ,”

Microelectron. Engg. 84, 2785-2789 (2007).

[116] J. Penaud, F. Fruleux, and E. Dubois, “Transformation of hydrogen silsesquioxane properties with

RIE plasma treatment for advanced multiple-gate MOSFETs,” Appl. Surf. Sci. 253, 395-399 (2006).

[117] H. –C. Liou and J. Pretzer, “Effect of curing temperature on the mechanical properties of hydrogen

silsesquioxane thin films,” Thin Solid Films 335, 186-191 (1998).

[118] W. –C. L, C. –C. Yang, W. –C. Chen, B. –T. Dai, and M. –S. Tsai, “The structural transformation

and properties of spin-on poly(silsesquioxane) films by thermal curing,” J. Non-Cryst. Solids 311, 233-

240 (2002).

[119] C. –C. Yang and W. –C. Chen, “The structure and properties of hydrogen silsesquioxane (HSQ)

films produced by thermal curing,” J. Mater. Chem. 12, 1138-1141 (2002).

[120] M. R. Saleem, S. Honkanen, and J. Turunen, “Thermo-optic coefficient of Ormocomp and

comparison of polymer materials in athermal replicated subwavelength resonant waveguide gratings,”

Opt.Commun 288, 56-65 (2013).

[121] B. Bahreyni, Fabrication and design of resonant microdevices (William Andrew, New York, 2008).

[122] M. J. Jackson, Micro and Nanomanufacturing (Springer, New York, 2007).

[123] S. M. Rossnagel, J. J. Cuomo, and W. D. Westwood, eds., Handbook of Plasma Processing

Technology: Fundamentals, Etching, Deposition, and Surface interactions (Noyes Publications, New

Jersey, 1990).

[124] S. Y. Chou, P. R. Krauss, and P. J. Renstrom, “Imprint of sub-25 nm vias and trenches in

polymers,” Appl. Phys. Letts. 67, 3114-3116 (1995).

[125] S. Y. Chou, P. R. Krauss, and P. J. Renstrom, “Nanoimprint lithography,” J. Vac. Sci. Technol. B

14, 4129-4133 (1996).

[126] S. Y. Chou, P. R. Krauss, W. Zhang, L. Guo, and L. Zhuang, “Sub-10 nm imprint lithography and

applications,” J. Vac. Sci. Technol. B 15, 2897-2904 (1997).

[127] S. Fujimori, “Fine pattern fabrication by the Molded Mask Method (Nanoimprint Lithography) in

the 1970s,” Japanese J. Appl. Phys. 48, 06FH01-1 (2009).

[128] H. Dreuth and C. Heiden, “Thermoplastic structuring of thin polymer films,” Sensors and Actuators

78, 198-204 (1999).

[129] M. Worgull, Hot embossing: theory and technology of microreplication (Elsevier, Oxford, 2009).

[130] B. Cui and T. Veres, “Pattern replication of 100 nm to millimeter-scale features by thermal

nanoimprint lithography,” Mocroelectron. Engg. 83, 902-905 (2006).

[131] R. Puurunen, “Surface chemistry of atomic layer deposition: A case study for the

trimethylaluminum/water process,” J. Appl. Phys., 97, 121301 (2005).

Page 166: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

142

[132] J. Aarik, A. Aidla, A. Kiisler, T. Uustare, and V. Sammelselg, “Effect of crystal structure on optical

properties of TiO2 films grown by atomic layer deposition,” Thin Solid Films, 305, 270-273 (1997).

[133] M. Ritala, M. Leskelä, L. Niinistö, and T. Prohaska, “Surface roughness reduction in atomic layer

epitaxy growth of titanium dioxide thin films,” Thin Solid Films, 249, 155-162 (1994).

[134] H. S. Nalwa, Handbook of Thin Film Materials: Deposition and Processing of Thin Films

(Academic Press, San Diego, 2002).

[135] M. Ylilammi, “Monolayer thickness in atomic layer deposition,” Thin Solid Films 279, 124-130

(1996).

[136] R. Doering and Y. Nishi, eds., Handbook of Semiconductor Manufacturing Technology, Second

eds., (CRC Press, Taylor & Francis Group, Boca Raton, 2008).

[137] T. Alasaarela, T. Saastamoinen, J. Hiltunen, A. Säynätjoki, A. Tervonen, P. Stenberg, M. Kuittinen,

and S. Honkanen, “Atomic layer deposited titanium dioxide and its application in resonant waveguide

grating,” Appl. Opt. 49, 4321-4325 (2010).

[138] R. A. Fischer, Precursor Chemistry of Advanced Materials CVD, ALD and nanoparticles (Springer,

Berlin, 2005).

[139] D. Mardare and P. Hones, “Optical dispersion analysis of TiO2 thin films based on variable-angle

spectroscopic ellipsometry measurements,” Mater. Sci & Engg. B 68, 42-47 (1999).

[140] J. S. King, E. Graugnard, and C. J. Summers, “TiO2 inverse opals fabricated using low-temperature

atomic layer deposition,” Adv. Mater. 17, 1010-1013 (2005).

[141] C. R. Ottermann and K. Bange, “Correlation between the density of TiO2 films and their

properties,” Thin Solid Films 286, 32-34 (1996).

[142] J. Aarik, A. Aidla, A.-A. Kiisler, T. Uustare, and V. Sammelselg, “Effect of crystal structure on

optical properties of TiO2 films grown by atomic layer deposition,” Thin Solid Films 305, 270-273 (1997).

[143] D. Mergel, D. Buschendorf, S. Eggert, R. Grammes, and B. Samset, “Density and refractive index

of TiO2 films prepared by reactive evaporation,” Thin Solid Films 371, 218-224 (2000).

[144] J. Aarik, A. Aidla, H. Mändar, and T. Uustare, “Atomic layer deposition of titanium dioxide from

TiCl4 and H2O: investigation of growth mechanism,” Appl. Surf. Sci. 172, 148-158 (2001).

[145] R. M. A. Azzam and N. M. Bashara, Ellipsometry and Polarized Light (North-Holland publishing

Company, Amsterdam, 1977).

[146] H. G. Tompkins and E. A. Irene, eds., Handbook of Ellipsometry (William Andrew, Inc., New

York, 2005).

[147] M. Born and E. Wolf, Principles of Optics: Electromagnetic theory of propagation, interference

and diffraction of light (Cambridge University Press, Cambridge, 1999).

[148] S. Kasap, P. Capper (eds.,) Handbook of Electronic and Photonic Materials (Springer, New York,

2006)

[149] J. A. Woollam, B. Johs, C. M. Herzinger, J. Hilfiker, R. Synowicki, and C. L. Bungay, “Overview

of variable angle spectroscopic ellipsometry (VASE), part I: Basic theory and typical applications,”

Proceedings of SPIE: Critical reviews of optical science and technology CR72, 1-28 (1999).

[150] G. P. Behrmann and J. P. Bowen, “Influence of temperature on diffractive lens performance,” Appl.

Opt. 32, 2483-2489 (1993).

[151] http://refractiveindex.info/group=PLASTICS&materials=PC

[152] J. M. Cariou, J. Dugas, L. Martin, and P. Michel, “Refractive-index variations with temperature of

PMMA and polycarbonate,” Appl. Opt. 25, 334-336 (1986).

[153] J. F. Shackelford and W. Alexander, Eds., Materials Science and Engineering Handbook, 3rd

ed.

(CRC Press LLC, Boca Raton, 2001).

[154] H. S. Nalwa, Ed., Polymer Optical Fibers, Vol. I (American Scientific Publishers, Valencia, CA,

2004).

[155] Z. Zhang, P. Zhao, P. Lin, and F. Sun, “Thermo-optic coefficient of polymers for optical waveguide

applications,” Polymer 47, 4893-4896 (2006).

[156] M. R. Saleem, P. Silfsten, S. Honkanen, and J. Turunen, “Thermal properties of TiO2 films grown

by atomic layer deposition,” Thin Solid Films, 520, 5442-5446 (2012).

[157] G. Khanarian, “Optical properties of cyclic olefin copolymers,” Opt. Engg. 40, 1024-1029 (2001).

[158] S. Obi, Replicated optical microstructures in hybrid polymers: Process technology and

applications, PhD. Thesis, Iniversite de Neuchatel, Switzerland, 2006.

[159] D. Delbeke, R. Baets, and Muys, “Polarization-selective beam splitter based on a highly efficient

simple binary diffraction grating,” Appl. Opt. 43, 6157-6165 (2004).

Page 167: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

143

[160] T. Clausnitzer, A. V. Tishchenko, E. B. Kley, J. Fuchs, D. Schelle, O. Parriaux, and U. Kroll,

“Narrowband , polarization-independent free-space wave notch filter,” J. Opt. Soc. Am. A 22, 2799-2803

(2005).

[161] J. Dekker, K. Kolari, and R. L. Puurunen, “Inductively coupled plasma etching of amorphous Al2O3

and TiO2 mask layers grown by atomic layer deposition,” J. Vac. Sci. Technol. B 24, 2350-2355 (2006).

[162] A. Brunsting, M. A. Kheiri, D. F. Simonaitis, and A. J. Dosmann, “Environmental effects on all-

dielectric bandpass filters,” Appl. Opt. 25, 3235-3241 (1986).

[163] C. Kittel (Ed.), ”Introduction to Solid State Physics,”( Wiley, New York, 1996).

[164] Z. Zhang, P. Zhao, P. Lin, and F. Sun, “Thermo-optic coefficients of polymers for optical

waveguide applications,” Polymer, 47, 4893-4896 (2006).

[165] M. B. J. Diemeer, “Polymeric thermo-optic space switches for optical communications,” Opt. Mat.

9, 192-200 (1998).

[166] C. Schizas, D. Karalekas, “Mechanical characteristics of an Ormocomp® biocompatible hybrid

photopolymer,” Journal of Mechanical behavior of biomedical materials, 4, 99-106 (2011).

[167] D. Mergel and M. Jerman, “Density and refractive index of thin evaporated films,” Chinese Opt.

Letts, 8, 67-72 (2010).

[168] M. Zhang, G. Lin, C. Dong, and L. Wen, “Amorphous TiO2 films with high refractive index

deposited by pulsed bias arc ion plating,” Surface Coatings & Technol., 201, 7252-7258 (2007).

[169] L. J. Meng, V. Teixeira, H. N. Cui, F. Placido, Z. Xu, and M. P. d. Santos, “A study of the optical

properties of titanium oxide films prepared by dc reactive magnetron sputtering,” Appl. Surf. Sci., 252,

7970-7974 (2006).

[170] O. Anderson, C. R. Ottermann, R. Kuschnereit, P. Hess, and K. Bange, “Density and Young’s

modulus of thin TiO2 films,”Fresenius J. Anal. Chem, 358, 315-318 (1997).

[171] D. Mergel, D. Buschendorf, S. Eggert, R. Grammes, and B. Samset, “Density and refractive index

of TiO2 films prepared by reactive evaporation,” Thin Solid Films, 371, 218-224 (2000).

[172] G. Ketteler, S. Yamamoto, H. Bluhm, K. Andersson, D. E. Starr, D. F. Ogletree, H. Ogasawara, A.

Nilsson, and M. Salmeron, “The nature of water nucleation sites on TiO2 (110) surfaces revealed by

ambient pressure X-ray photoelectron spectroscopy,” J. Phys. Chem. C. 111, 8278-8282 (2007).

[173] S. Wiechmann and J. Müller, “Thermo-optic properties of TiO2, Ta2O5 and Al2O3 thin films for

integrated optics on silicon,” Thin Solid Films, 517, 6847-6849 (2009).

[174] G. Gülşen and M. N. Inci, “Thermal optical properties of TiO2 films,” Opt. Mater. 18, 373-381

(2002).

[175] M. Furuhashi, M. Fujiwara, T. Ohshiro, M. Tsutsui, K. Matsubara, M. Taniguchi, S. Takeuchi, and

T. Kawai, “Development of microfabricated TiO2 channel waveguides,” AIP Adv. 1, 032102 (2011).

[176] K. D. Bonin and V. V. Kresin, Electric dipole polarizabilities of atoms, molecules and clusters,

(World Scientific, Singapore, 1997).

[177] C. R. Ottermann and K. Bange, “Correlation between the density of TiO2 films and their

properties,” Thin Solid Films, 286, 32-34 (1996).

[178] S. F. Pellicori and H. L. Hettich, “Reversible spectral shift in coatings,” Appl. Opt., 27, 3061-3062

(1988).

[179] M. Born and E. Wolf, Principles of Optics, (Cambridge University Press, Cambridge, 1999).

[180] M. D. Groner, F. H. Fabreguette, J. W. Elam, and S. M. George, “Low-temperature Al2O3 atomic

layer deposition,” Chem. Mater. 16, 639-645 (2004).

[181] A. W. Ott, J. W. Klaus, J. M. Johnson, and S. M. George, “Al2O3 thin film growth on Si (100) using

binary reaction sequence chemistry,” Thin Solid Films, 292, 135-144 (1997).

[182] P. F. Carcia, R. S. McLean, M. D. Groner, A. A. Dameron, and S. M. George, “Gas diffusion

ultrabarriers on polymer substrates using Al2O3 atomic layer deposition and SiN plasma-enhanced

chemical vapor deposition,”J. Appl. Phys., 106, 023533 (2009).

[183] A. A. Dameron, S. D. Davidson, B. B. Burton, P. F. Carcia, R. S. McLean, and S. M. George, “Gas

diffusion barriers on polymers using multilayers fabricated by Al2O3 and rapid SiO2 atomic layer

deposition,” J. Phys. Chem. C 112, 4573-4580 (2008).

[184] L. Miotti, R. P. Pezzi, M. Copel, C. Krug, and I. J. R. Baumvol, “Atomic transport and integrity of

Al2O3(2.0 nm)/HfO2(2.5 nm) gate stacks on Si,” Appl. Phys. Letts., 90, 052913 (2007).

[185] M. D. Groner, S. M. George, R. S. McLean, and P. F. Carcia, “Gas diffusion barriers on polymers

using Al2O3 atomic layer seposition,”Appl. Phys. Lett., 88, 051907 (2006).

Page 168: Design, Fabrication and Analysis of Photonic Device ... and analysis of photonic... · ii Design, Fabrication and Analysis of Photonic Device Nanostructures Muhammad Rizwan Saleem

144

[186] T. Hirvikorpi, M. Vähä-Nissi, T. Mustonen, E. Liskola, and M. Karppinen, “Comparison of some

coating techniques to fabricate barrier layers on packaging materials,”Thin Solid Films, 518, 2654-2658

(2010).

[187] M. L. Huang, Y. C. Chang, C. H. Chang, Y. J. Lee, P. Chang, J. Kwo, T. B. Wu, and M. Hong,

“Surface passivation of III-V compound semiconductors using atomic-layer-deposition-grown

Al2O3,”Appl. Phys. Lett. 87, 252104 (2005).

[188] G. Rossi and M. Nulman, “Effect of local flaws in polymeric permeation reducing barriers,”J. Appl.

Phys., 74, 5471-5475 (1993).

[189] P. F. Carcia, R. S. McLean, M. H. Reilly, M. D. Groner, and S. M. George, “Ca test of Al2O3 gas

diffusion barriers grown by atomic layer deposition on polymers,” Appl. Phys. Lett., 89, 031915 (2006).

[190] M. D. Groner, J. W. Elam, F. H. Fabreguette, and S. M. George, “Electrical characterization of thin

Al2O3 films grown by atomic layer deposition on silicon and various metal substrates,” Thin Solid Films,

413, 186-197 (2002).

[191] M. R. Saleem, S. Honkanen, and J. Turunen, Effect of substrate overetching and heat treatment of

titanium oxide waveguide gratings and thin films on their optical properties,” Appl. Opt. 52, 422- 432

(2013).

[192] J. Penaud, F. Fruleux, and E. Dubois, “Transformation of hydrogen silsesquioxane properties with

RIE plasma treatment for advanced multi-gate MOSFETs,” Appl. Surf. Sci., 253, 395-399 (2006).

[193] Topas®, cyclic olefin copolymer brochure, 2006, pp. 1-20 (www.topas.com).

[194 ]G. Khanarian, “Rubber toughened and optically transparent blends of cyclic olefin copolymers,”

Polymer Engineering & Science 40, 2590-2601 (2000).

[195] L. C. E. Struik, Internal stresses, dimensional instabilities and molecular orientations in plastics,

(John Wiley, New York, 1990).

[196] M. Ohring, The materials science of thin films, (Academic Press, San Diego, 1992).

[197] Y.-Q. Hou, D.-M. Zhuang, G. Zhang, M. Zhao, and M.-S. Wu, “Influence of annealing temperature

on the properties of titanium oxide thin films,” Appl. Surf. Sci. 218, 98-106 (2003).

[198] E. R. Parker, B. J. Thibeault, M. F. Aimi, M. P. Rao, and N. C. MacDonald, “Inductively coupled

plasma etching of bulk titanium for MEMS applications,” J. Electrochem. Soc. 152, C675-C683 (2005).

[199] S. Norasetthekul, P. Y. Park, K. H. Baik, K. P. Lee, J. H. Shin, B. S. Jeong, V. Shishodia, E. S.

Lambers, D. P. Norton, and S. J. Pearton, “Dry etch chemistries for TiO2 thin films,” Appl. Surf. Sci. 185,

27-33 (2001).

[200] K. M. Kim, S. Y. Lee, G. J. Choi, J. H. Han, and C. S. Hwang, “Electrically benign dry-etching

method for rutile TiO2 thin film capacitors with Ru electrodes,” Electrochem. Solid State Lett. 13, G1-G4

(2010).

[201] S. F. Pellicori and H. L. Hettich, “Reversible spectral shift in coatings,”Appl. Opt. 27, 3061-3062

(1988).

[202] A. S. da S. Sobrinho, G. Czeremuszkin, M. Latrèche, and M. R. Wertheimer, “Defect-permeation

correlation for ultrathin transparent barrier coatings on polymers,” J. Vac. Sci. Technol. A 18, 149-157

(2000).