Concurrent Guiding Template Assignment and Redundant Via ...

37
Jiaojiao Ou 1 , Bei Yu 2 , David Z. Pan 1 1 ECE Department, University of Texas at Austin 2 CSE Department, Chinese University of Hong Kong Concurrent Guiding Template Assignment and Redundant Via Insertion for DSAMP Hybrid Lithography This work is supported in part by NSF and SRC

Transcript of Concurrent Guiding Template Assignment and Redundant Via ...

Page 1: Concurrent Guiding Template Assignment and Redundant Via ...

Jiaojiao  Ou1,  Bei  Yu2,  David  Z.  Pan11ECE  Department,  University  of  Texas  at  Austin

2CSE  Department,  Chinese  University  of  Hong  Kong

Concurrent Guiding Template Assignment and Redundant Via

Insertion for DSA-­MP Hybrid Lithography

This  work  is  supported  in  part  by  NSF  and  SRC

Page 2: Concurrent Guiding Template Assignment and Redundant Via ...

Outline

t Introduction

t Problem  Formulation

t Algorithms

t Experimental  Results

t Conclusion

Page 3: Concurrent Guiding Template Assignment and Redundant Via ...

What is Directed Self-­Assembly ?

t Self  Assembly› Enabled  by  block  copolymers  (BCPs)

t Block  Copolymers  (BCPs)› Polymers  composed  of  2  or  more  homopolymer› Micro-­phase  separation  through  annealing› Morphology  is  determined  by  several  factorsPolymer  A   Polymer  B  

Lamellae Cylinders

Page 4: Concurrent Guiding Template Assignment and Redundant Via ...

What is Directed Self-­Assembly ?

t Direct the  Self  Assembly  process› No  orientational order  of  the  material› Given  additional  driving  force  to  thermodynamics› Turn  random  “finger  print”  to  oriented  and  aligned  pattern

Guiding  Template

Figure  source:  J.W.  Lee  et  al.,  DONGJIN  SEMICHEM  co.,  Ltd

Directed  Self-­Assembly(DSA)

Page 5: Concurrent Guiding Template Assignment and Redundant Via ...

Why DSA ?

t Multiply  pitch  of  line/hole  patternst High  throughputt Potentially  extend  193i  lithography  to  7nm  at  lower  cost

Typical  DSA  manufacturing  process

193iDry Etch

BCP

Substrate

Spin-on-carbon

Resist

AnnealPatternTransfer

Page 6: Concurrent Guiding Template Assignment and Redundant Via ...

DSA Pattern Properties

t Within-­group  contact/via  distancet Complex  shapes  are  difficult  to  printt Unexpected  holes  and  placement  error  of  holes  for  some  patterns

t Pre-­defined  DSA  pattern  set  to  improve  robust

Page 7: Concurrent Guiding Template Assignment and Redundant Via ...

Design Co-­optimization of DSA Lithography

t To  best  use  of  DSA  patternst To  find  optimal  DSA-­compatible  designt Previous  related  work  on  DSA  co-­design

› Cut-­mask  optimization» [Xiao+,  SPIE’13],  [Ou+,  GLSVLSI’15],  [Lin+,  ASPDAC’16]

› Via  layer  optimization  in  standard  cell  library  design» [Du+,  ICCAD’13]

› Mask  decomposition» [Badr+,  DAC’15],  [Kuang+,  ASPDAC’16],  [Xiao+,ASPDAC’16]

› Redundant  via  insertion» [Fang+,  ICCAD’15]

› Etc.

Page 8: Concurrent Guiding Template Assignment and Redundant Via ...

Redundant Via Insertion (RVI)

v2 v1

v5

v4

v3

v6

v2 v1

v5

v4

v3

v6

rv2

rv1 rv3

rv4

rv5 rv6

t Insert  an  extra  via  near  a  single  viat Prevent  via  failuret Improve  circuit  yield  and  reliability

Redundant  Via

Page 9: Concurrent Guiding Template Assignment and Redundant Via ...

Multiple Patterning (MP) for Via Layer

v2 v1

v5

v4

v3

v6

rv2

rv1 rv3

rv4

rv5 rv6

t LELE  or  LELELE  is  required  for  advanced  technology  node

t Mask  cost  increases

Mask1 Mask2 Mask3

Page 10: Concurrent Guiding Template Assignment and Redundant Via ...

DSA + MP for Via Layer

t Reduce  the  number  of  masks› DSA  guiding  template  assignment  (GTA)  › Mask  decomposition

v2 v1

v5

v4

v3

v6

rv2

rv1 rv3

rv4

rv5 rv6

v2 v1

v5

v4

v3

v6

rv2

rv1 rv3

rv4

rv5 rv6

GTA Mask  decomposition

Page 11: Concurrent Guiding Template Assignment and Redundant Via ...

DSA + MP Compatible RVI

t Conventional  RVI  does  not  consider  DSA  pattern› More  masks  may  be  required

t Consider  “DSA  +  MP”  in  redundant  via  insertion  staget Previous  work  does  not  consider  MP  during  RVI

DSA  +  TP DSA  +  DP

v2 v1

v5v4

v3

v6rv2

rv4 rv5

rv1

rv3

rv6

v2 v1

v5v4

v3

v6rv2

rv4 rv5

rv1

rv3

rv6

Page 12: Concurrent Guiding Template Assignment and Redundant Via ...

Problem Formulationt Input› Post-­routing  layout› Pre-­defined  DSA  pattern  set› Mask  number  for  via  layer

t Objective› Maximize  redundant  via  insertion  rate› Maximize  number  of  vias patterned  by  DSA

Page 13: Concurrent Guiding Template Assignment and Redundant Via ...

DSA Guiding Template Assignment

t Search  all  possible  DSA  group  combinations  for  each  via

t Construct  bipartite  graph

v2

v1

v2

v1

t1

t3

v2

v1

t4rv2 v2

v1

t2rv1

v1

v2

t1

t2

t3

t4

Page 14: Concurrent Guiding Template Assignment and Redundant Via ...

Design Rule Constraints

t Guiding  template  violation:  › overlaps› minimum  distance

t Edge  color  assignment› Assign  same  color  to  edges  which  connects  any  two  violated  guiding  templates

Page 15: Concurrent Guiding Template Assignment and Redundant Via ...

Design Rule Constraints

t Overlaps

Overlap

v2

v1

t1

t3v2

v1

t4rv2v2

v1

t2rv1

Page 16: Concurrent Guiding Template Assignment and Redundant Via ...

Design Rule Constraints

t Overlaps

v2

v1

t1

t3v2

v1

t4rv2v2

v1

t2rv1

Overlap

Page 17: Concurrent Guiding Template Assignment and Redundant Via ...

Design Rule Constraints

t Overlaps

Overlap

v2

v1

t1

t3v2

v1

t4rv2v2

v1

t2rv1

Page 18: Concurrent Guiding Template Assignment and Redundant Via ...

Design Rule Constraints

t Adjacent  design  rule  violations

Overlap

Adjacent

v2

v1

t1

t3v2

v1

t4rv2v2

v1

t2rv1

Page 19: Concurrent Guiding Template Assignment and Redundant Via ...

Design Rule Constraints

t Adjacent  design  rule  violations

Overlap

Adjacent

v2

v1

t1

t3v2

v1

t4rv2v2

v1

t2rv1

Page 20: Concurrent Guiding Template Assignment and Redundant Via ...

Design Rule Constraints

t Adjacent  design  rule  violations

Overlap

Adjacent

v2

v1

t1

t3v2

v1

t4rv2v2

v1

t2rv1

Page 21: Concurrent Guiding Template Assignment and Redundant Via ...

Design Rule Constraints

t At  most  1  edge  can  be  selected  for  the  same  color  group  

Page 22: Concurrent Guiding Template Assignment and Redundant Via ...

Design Rule Constraints – Double Patterning

t Double  DSA  guiding  patterns  to  indicate  the  masks  they  are  assigned  › 𝑡  :  mask  1› 𝑡’:  mask  2

Page 23: Concurrent Guiding Template Assignment and Redundant Via ...

Design Rule Constraints – Double Patterning

t At  most  1  edge  can  be  selected  for  overlapping  groupt At  most  2  edges  can  be  selected  for  every  2  edges  in  different  masks  for  adjacent  group  

Page 24: Concurrent Guiding Template Assignment and Redundant Via ...

Design Rule Constraints – Double Patterning

t At  most  1  edge  can  be  selected  for  overlapping  groupt At  most  2  edges  can  be  selected  for  every  2  edges  in  different  masks  for  adjacent  group  

Page 25: Concurrent Guiding Template Assignment and Redundant Via ...

Design Rule Constraints – Double Patterning

t At  most  1  edge  can  be  selected  for  overlapping  groupt At  most  2  edges  can  be  selected  for  every  2  edges  in  different  masks  for  adjacent  group  

v2

v1

rv2

Page 26: Concurrent Guiding Template Assignment and Redundant Via ...

v1

v2

t1

t2

t3

t4

DSA Guiding Pattern Weight

t To  balance  between  insertion  rate  and  number  of  vias patterned  by  DSA

t Assign  higher  weight  to  edges  connecting  with  template  with  redundant  via

Larger  weight

v2

v1

t1

t3v2

v1

t4rv2v2

v1

t2rv1

Page 27: Concurrent Guiding Template Assignment and Redundant Via ...

Constrained Bipartite Graph Matching

t Maximize  the  cost  function› Maximize  the  number  of  edges  (DSA  coverage)› Edges  with  redundant  via  has  higher  priority  (insertion  rate)

t ILP  formulation

For  overlaps

For  adjacent  

Page 28: Concurrent Guiding Template Assignment and Redundant Via ...

LP Relaxation

t Relax  integer  to  continuous  variables

Page 29: Concurrent Guiding Template Assignment and Redundant Via ...

Rounding Algorithm

t Trim  LP  solution:  remove  0-­value  edges/nodes  t Update  solution  set:    add  1-­value  edgest Rounding  (tight  vertex):    

› 1:  xe >  0.5› 0:  xe <  0.5  

t LP  result

Page 30: Concurrent Guiding Template Assignment and Redundant Via ...

Speed-­up Algorithm

Overall  Flow

Page 31: Concurrent Guiding Template Assignment and Redundant Via ...

Experimental Environment

t Implemented  in  C++t 8-­Core  3.4GHz  Linux  Servert 32GB  RAMt ILP/LP  solver:  CBC

Page 32: Concurrent Guiding Template Assignment and Redundant Via ...

Benchmarks and Compared Algorithms

t OpenSPARC T1  designBench efc ecc ffu alu byp mul

#vias 4983 5523 7026 7046 28847 62989

t Algorithms› Conventional  RVI:  Un-­Constrained  (UC)› DSA+Single Patterning:  SP-­ILP› DSA+Double Patterning:  DP-­ILP,  DP-­Ap› DSA+Triple Patterning:  TP-­ILP,  TP-­Ap

Page 33: Concurrent Guiding Template Assignment and Redundant Via ...

Redundant Via Insertion Rate

t UC  is  thought  to  have  highest  insertion  ratet DSA+DP  and  DSA+TP  have  almost  the  same  insertion  rate  with  UC

ILP  failed  to  finish

Page 34: Concurrent Guiding Template Assignment and Redundant Via ...

DSA Coverage Rate

t Coverage  rate:  #patterned  via/#total  viat DP-­ILP  and  TP-­ILP  can  reach  100%  coverage

ILP  failed  to  finish

Page 35: Concurrent Guiding Template Assignment and Redundant Via ...

Runtime

t Approximation  algorithm  is  20x  faster  than  ILP

ILP  failed  to  finish

Page 36: Concurrent Guiding Template Assignment and Redundant Via ...

Conclusion

t Directed  Self-­Assembly  is  a promising  candidate  for  next  generation  lithography

tWe  proposed  a  general  ILP  formulation  and  a  speed-­up  algorithm  to  solve  the  DSA  aware  redundant  via  insertion  with  MP  simultaneously

t The  experimental  results  demonstrate  the  effectiveness  of  our  algorithm

t Future  work:› DSA+RVI  during  routing› New  ways  of  hybrid?  

Page 37: Concurrent Guiding Template Assignment and Redundant Via ...

Thank you!Q&A