CMPE 450 Capstone Design Project iOS Remote Control Car

1
CMPE 450 Capstone Design Project iOS Remote Control Car Design Group Members: Robert Hood, Max Marcus, Barry Peyton 2012 Overview To interface an RC car to an Apple iOS handheld device, providing the user with a more interactive experience, controlling the car through both the iOS devices’ touch screen and built in accelerometer. Fig. 1 Screenshot of the iOS User Interface Roving Networks RN-174 Wi-Fi Module Control Signals iOS User Interface Main Components Desig n Altera DE0 Nano FPGA iOS Device Fig. 2 PWM signals and their corresponding controls Traxxis ESC and Waterproof Servo These allow us to control the speed and direction of the vehicle through simple PWM signals. Provides the project with a wireless connection between the iOS device and the FPGA, allowing the user to control the car from up to 25m away. Ideal for our project, it provides all the programmable functionality to complete our design, while being extremely portable and lightweight. With their built in accelerometer and touch screen capabilities, iOS devices were the perfect choice for our remote control app. The app works on any iOS device running up-to-date iOS software. • The User uses both the touch screen and the built in accelerometer of the iOS device to control the car’s operation. First the user must press the connect button on the screen of the iOS device. This establishes a connection to the DE0 Nano board via the RN-174 Wi-Fi module. • Once a connection is established, the car is in neutral. To operate the car, the user must slide the speed bar to the desired speed, and tilt the device in the direction they would like to turn. Behind the scenes, when the user slides the speed bar, or tilts the device, the iOS device sends a three byte TCP-IP packet containing the current movement information (1-byte error checking, 1- byte motor command, 1-byte steering command) to the FPGA via the Wi-Fi module. • When the packet is received, embedded control software filters the packet, extracting the crucial data. Once the program has filtered the packet and determined it contains valid control data, it sends each byte of the transmitted packet to its respective PWM controller module. • As seen in Fig 2., neutral is considered to be a 1.5ms PWM signal for both steering and the motor. In order to turn the car left, or move in reverse, the PWM modules decrease the duty cycle of the signal from 1.5ms. To turn right or move forward, the PWM modules must increase the signal duty cycle from 1.5ms.

description

CMPE 450 Capstone Design Project iOS Remote Control Car. iOS User Interface. Design. Overview - PowerPoint PPT Presentation

Transcript of CMPE 450 Capstone Design Project iOS Remote Control Car

Page 1: CMPE 450 Capstone Design Project iOS Remote Control Car

CMPE 450 Capstone Design Project

iOS Remote Control CarCMPE 450 Capstone Design Project

iOS Remote Control CarDesign Group Members: Robert Hood, Max Marcus, Barry Peyton 2012

OverviewTo interface an RC car to an Apple iOS handheld device, providing the user with a more interactive experience, controlling the car through both the iOS devices’ touch screen and built in accelerometer.

Fig. 1 Screenshot of the iOS User Interface

Roving Networks RN-174 Wi-Fi Module

Control Signals

iOS User Interface

Main Components

Design

Altera DE0 Nano FPGA

iOS Device

Fig. 2 PWM signals and their corresponding controls

Traxxis ESC and Waterproof Servo

These allow us to control the speed and direction of the vehicle through simple PWM signals.

Provides the project with a wireless connection between the iOS device and the FPGA, allowing the user to control the car from up to 25m away.

Ideal for our project, it provides all the programmable functionality to complete our design, while being extremely portable and lightweight.

With their built in accelerometer and touch screen capabilities, iOS devices were the perfect choice for our remote control app. The app works on any iOS device running up-to-date iOS software.

• The User uses both the touch screen and the built in accelerometer of the iOS device to control the car’s operation. First the user must press the connect button on the screen of the iOS device. This establishes a connection to the DE0 Nano board via the RN-174 Wi-Fi module.

• Once a connection is established, the car is in neutral. To operate the car, the user must slide the speed bar to the desired speed, and tilt the device in the direction they would like to turn. Behind the scenes, when the user slides the speed bar, or tilts the device, the iOS device sends a three byte TCP-IP packet containing the current movement information (1-byte error checking, 1-byte motor command, 1-byte steering command) to the FPGA via the Wi-Fi module.

• When the packet is received, embedded control software filters the packet, extracting the crucial data. Once the program has filtered the packet and determined it contains valid control data, it sends each byte of the transmitted packet to its respective PWM controller module.

• As seen in Fig 2., neutral is considered to be a 1.5ms PWM signal for both steering and the motor. In order to turn the car left, or move in reverse, the PWM modules decrease the duty cycle of the signal from 1.5ms. To turn right or move forward, the PWM modules must increase the signal duty cycle from 1.5ms.