CHIP 2011

66
Edition 2011

description

CHIP 2011 magazine from Oerlikon Systems

Transcript of CHIP 2011

Edition 2011

Editor in Chief Sven E. Jarby Managing Editor Peter Kraus, REMCOM GmbH (USA/Switzerland) Design/Layout Doubletake Design Ltd. (UK) Published by Oerlikon Systems, P.O. Box 1000, FL-9496 Balzers, Liechtenstein Printed By Südostschweiz Print (Chur, Switzerland) Photography Michael Reinhard Photography (Herrliberg, Switzerland) / Peter Fuchs (Buchs/Switzerland) / Oerlikon Photography unless stated Comments & Information Please contact us at [email protected] or for more information visit www.oerlikon.com

Contents Introduction Andreas Dill on Innovation 04 Bu OerlikonSystemsBusinessNews Interview with SEMI Asia on Leading the Recovery 08 VLSI Awards: Oerlikon Wins 10 Best / Five-Star 10 Sven E. Jarby on Our Mission, Our Industry 12 Oerlikon Leybold Vacuum: Getting the Best 14 Oerlikon Solar Goes for Grid Parity 16 He HEXAGON High Throughput with Low Production Costs 20

Se Semiconductor Albert Koller on the New Way of Working 24 LLS Means Long Life & Security 25 OSRAM Opto Semiconductors: Lighting up LED 26 Improving the Etch Kit Lifetime 28 Advanced Packaging: Processing Polymer Materials 30 Very Low Temperature Processing with the Arctic Chuck 32 CLUSTERLINE with Multi-Source Flexibility 34 Rd Research&Development Identifying the Future: Looking at Emerging Technologies 40 What We Do as an R&D Team 41 Large Scale Deposition of PZT Films for MEMS 42 Thin Film Lithium Batteries on the LLS 44 New Roof Cathode for Thin Film Heads 46

Ad AdvancedNanotechnology SOLARIS: Bright Solution for PV 50 Highly Functional: ITO Layers on SOLARIS 52 Sa Sales&CustomerSupport Service & Partnership: “We Don’t Work Alone” 56 Fraunhofer ASSID: Partner for TSV Solutions 58 The Oerlikon Sales / Support Network 60

INNOVATION HAS A NAME.Rogier Lodder, Senior System Engineer

After conversations with dozens of customers, we integrated sputtering, etch, thermaland cleaning functions onto one ultra-compact platform —Hexagon. It handles the mostadvanced packaging challenges with throughput up to 60 wph and maintenance intervals of more than 4000 wafers. So we can say to our customers, “we heard you.”

Today lower cost of ownership has a name: Hexagon.Learn more at www.oerlikon.com/systems/hexagon

“Our customer’s R&D director looked at me and said, ‘Rogier, packaging costsare just too high. We needMUCH BETTER productivity.’”

“That’s howHexagon was born.”

Oerlikon_InnoHasName_A4.indd 1 8/10/11 12:15 PM

“DuringnumerousdiscussionsIrealizedthatourcommitmenttoinnovation–andawillingnesstorisk–definestheprocessthatleadsusforward.”

After the historic downturn of the past two years, I look forward to the next 12 months. Our efforts to move ahead – on technological innovation, collaborating with our customers, upgrading our service teams (see page 56) – and an unwavering commitment to build on today’s ideas are a big part of our current recovery.

It’s exactly this commitment that came out during a recent ‘company workshop’ that brought together many different people from Oerlikon. We looked at our strengths and weaknesses, mapped out a roadmap and goals and outlined our vision of the future. During numerous discussions I realized that our commitment to innovation – and this willingness to risk – defines the process that leads us forward.

Our drive to innovate is a big part of who we are and how we work. And it helps keeping our customers competitive. I find it a privilege to work with our partners. We commit to a better production solution and strive to deliver a reliable and high-quality

solution. A solution that performs to expectations and provides value to the manufacturer – for years. Our service teams are also there, around the clock to carry out “health checks,” ensure training – to maximize uptime and keep production going.

Equally important, this commitment to innovation and working to enhance the performance and reliability of our production solutions does not change during difficult times – because that’s exactly when it counts most.

We look forward to working with you and hope our newest edition of Chip is part of that process – and wish you much enjoyment with this issue!

Sincerely,

AndreasDillCEO Oerlikon Advanced Technologies

WhereInnovationComesToLife

Dear Readers,Welcome to our newest edition of Chip. In my lasteditorial I wrote about how our industry and thetechnologies we use are evolving at a rapid pace. Thathasn’t changed. For example, just over a year ago new energy conversion and energy management applications were mere concepts. Today we are working hard with manufacturers to commercialize these opportunities as quickly as possible.

Chip2011|Introduction|04/05

BusinessNews

Interview with SEMI Asia

on Leading the Recovery

VLSI Awards: Oerlikon Wins 10

Best / Five-Star

Sven E. Jarby on Our Mission,

Our Industry

Oerlikon Leybold Vacuum: Getting the Best

Oerlikon Solar Goes for

Grid Parity

SEMI ASIALEADINGTHE RECOVERYInterviewswiththeSemiAssociationinChinaandJapan

With2011expectedtobeayearofhistoricallyhighequipmentsalesforthesemiconductorindustry,OerlikonSystemsmetwithtwoexpertsfromtheAsiaregionaboutrecent–andfuture–events.SvenE.Jarby,GlobalHeadofMarketing&Brands,spokewithYoichi“Hank”Nakagawa(President,SEMIJapan)andAllenLu(President,SEMIChina)aboutthecurrentboomandexpectationsfornextyear.

AllenLu,President, SEMI China

yoichi“Hank”Nakagawa,President, SEMI Japan

Will the current semi boom

continue?

Hank Nakagawa: According to the SEMI

mid-year forecast, the 2011 equipment

market is projected to grow by 12.1%; fab

materials by 8.1% and packaging materials

by 4.5%. The 7% growth in IC chip unit

shipments and 2% growth in discrete

chip shipments are believed to be strong

contributors.

Allen Lu: The semiconductor industry hit

bottom in the fi rst quarter of 2009, and

then grew strongly until late 2010. By this

time, the fi nancial crisis had stabilized and

consumer spending, especially in Asia,

increased for mobile electronics like smart

phones, and PCs and other products.

2010 turned out to be a record year for

semiconductor sales, and the forecast is for

5–10% revenue growth for 2011.

Is 2011 going to be a record year

for fab equipment spending?

Allen: Yes. There is some uncertainty on how

much the market will grow this year, though

with even 10% increase in fab equipment

spending 2011 will be a record year. We

estimate 18-19% growth in fab equipment

spending.

Hank: The BA B/B ratio has been less than

one since October of 2010. And our data

shows the same trend since March 2011.

And 2012?

Allen: With the uncertainty in the global

economy, there are concerns the market

may slow in the second half of this year and

into 2012.

Hank: SEMI forecasts a -1.2% decline in

growth for 2012.

Asia dominates the market. Will

this trend grow, or are there other

emerging regions?

Allen: Clearly, Asia-Pacifi c has been the

top growth region over the past ten years

or so, going from 25% of fab capacity in

2000 to 45% of the total industry share by

2010. This trend is expected to continue,

though there seems to be the possibility

of new foundry investment in the Middle

East region. Also, there have been recent

announcements out of India that we’re

watching.

Hank: It’s true; Taiwan and Korea alone

made up 49% of the worldwide equipment

market in 2010. SEMI projects that this

trend will continue for the near future.

Will consolidation in the semi

market continue?

Allen: Yes, the industry consolidation of the

past decade or more – across the entire

supply chain – is expected to continue.

Semiconductor companies are also

branching out to new markets such as solar

and high-brightness LED.

What are the current production

priorities for semi manufacturers?

Allen: We are seeing investments in sub-

32 nm production capacity, requiring tool

sets to support the device structures and

materials for these advanced technology

nodes. Investments are also being made

to develop 22 nm production capabilities,

and below. Aside from IC manufacturing,

there’s strong demand in PV and LED

manufacturing sectors.

What are the main trends in semi

chips today?

Allen: Higher performance, lower power

consumption, lower cost, and higher degree

of integration, which will create better, faster,

cheaper consumer electronics products.

We also see the convergence of computing,

communication, and multi-media products.

The evolving applications will continue to

demand new generations of semi chips.

What is the SEMI organization in

Japan focusing on?

Hank: Japan is still the leading market for

semiconductor materials and one of the

most signifi cant equipment suppliers. As

a true global industry organization, SEMI

Japan wishes to contribute to the success

of our member companies. We provide

services such as trade shows, executive

seminars, technology seminars, standards,

industry statistics, etc.

What is the SEMI organization in

China focusing on?

Allen: China is the sole region in the world

with all “pan-semiconductor” sectors such

as IC, PV, FPD, LED, … and a large industry

base. China’s market and industry output

continues to grow and shape the global

semiconductor landscape. SEMI China

strives to help the domestic industry grow;

we also help SEMI members to grow their

businesses in China. Our expositions

address China market needs such as

LED, TSV, used equipment, automotive

electronics, etc. We also offer a number of

technology conferences and are building the

SEMI China “industry community” through

committees, working groups, special-

interest-groups (SIG), standard activities, and

industry advisory committees. We continue

to work with industry and government

stakeholders in China to advance the needs

of our industry.

Chip2011|BusinessNews|08/09

Alreadyaperennialwinnerofthe“10BEST”customersurveyfromVLSIResearch,OerlikonSystemswasalsoawardeda“fivestarrating”inthelatestresults.Thenewestresultsbestthecompany’spreviousratingsoflastyear–alsoarecord!

Doing What We Do BestOerlikon wins in VLSI surveys

“TheresultsfromthesurveyclearlyconfirmthesuccessofOerlikonproductsandservices;we’reinthemidstofafantasticyear.Ourthanksgotoourcustomersandthetrusttheyshowed.Thankyou!”

AlbertKoller,HeadofSemiconductors

“CongratulationstoOerlikonSystemsforawell-deservedaward,”laudsRistoPuhakka,PresidentofVLSIResearch.“YourcustomershavevotedOerlikonasoneofour10BEST;thecompanywonthecategoryoutrightfor“BestSmallSupplierofWaferProcessingEquipment”andclimbedto2ndplaceoverallamongthe“FocusedSuppliersofChipMakingEquipment”–yourbest-everresult.”

Oerlikon Systems was also awarded a “fi ve star rating” for achieving fi ve out of fi ve stars with exceptional customer satisfaction. Andreas Dill, Head of Oerlikon Systems explains: “A fi ve star rating is only given to companies with excellent equipment performance and strict ISO standards for quality management and sustainable manufacturing processes. Only 11 names gained fi ve stars out of the companies rated in the survey.”

Well-known in the semiconductor industry as valid measures of product quality and

company service performance, the annual “10 BEST” customer survey and “fi ve star ratings” are carried out by VLSI Research, Inc. This year’s survey ranked over 165 equipment suppliers based on customer satisfaction ratings in 13 rating categories covering equipment performance and customer service.

Confirmingasuccessfulyear“This is a gratifying and amazing vote of confi dence from our customers,” adds Albert Koller, Head of Semiconductors. “The results from the survey clearly confi rm the success of Oerlikon products and services; we’re in the midst of a fantastic year. Our thanks go to our customers and the trust they showed. Thank you!”

ConsistentlytopperformerOerlikon, a consistent stand-out in the annual VLSI surveys, rated in the “BEST 10” of the “Best Small Supplier of Wafer Processing Equipment” for the 12th year in a row. After many years of continually

better rankings (and winning the category already in 2010), the company repeated as #1 again in 2011. Oerlikon added to the category-winning results with a #2 overall spot in the highly competitive “Focused Supplier of Chip Making Equipment” category which covers all sectors of the semiconductor industry: wafer processing, assembly, packaging, testing, etc.

The “fi ve star ratings” are handed out by chip equipment users (representing 95% of the world’s semiconductor market) and based on thirteen categories including cost of ownership, quality of results, product performance, customer service, technical leadership, and commitment. Oerlikon Systems was recertifi ed ‘without discrepancy’ in an ISO audit of its Liechtenstein, Germany, and U.S. facilities in November 2010. The rapid ISO certifi cation is a key industry benchmark for adherence to high levels of quality and reliability.

Bu

Chip2011|BusinessNews|10/11

SvenE.Jarby,HeadofMarketing&Communication,OerlikonSystems

The theme of this issue of CHIP – “innovation has a name” – is not only a marketing slogan generated by an expensive agency. Innovation in technology is clearly an Oerlikon strength. The many new and exciting products coming from the Oerlikon Group over the past 160 years are proof of this. Here a selection of this year’s product launches: • Automatic 7-speed transmission – used in the Lamborghini

sports cars with record-setting shift times• WINGS FDY – new production process for synthetic fibers for

more sustainable production• ThinFab® – thin film solar cell production line that provides

significant cost reductions

The following pages of this magazine give you a good overview of the most recent hardware and thin film production process breakthroughs from Oerlikon Systems. I think you’ll be impressed!

OUR MISSION,OuRINDuSTRyNavigatingtheconstantlyshiftingsandsofcommercialsuccessandsustainablegrowthisachallengingendeavor.Whatworkstodaymaybehistorytomorrow.However,SvenE.Jarbyseesthatthismissionbringsoutthebestinacompany–inandwiththerelationshiptocustomers.

StayinginnovativeThe central question, “How to stay innovative?”, became very relevant during the downturn of the past 2 years. Through the input and efforts of numerous internal teams and creative colleagues, we accelerated and focused our processes to stay ahead of market developments by investing in business development – no matter where it happens around the world. These efforts showed us that, ultimately, innovation is a mindset based on our well-defined corporate culture (or, what has worked successfully for us) and our partnerships – with other Oerlikon companies, our vendors and our customers.

OurviewofpartnershipCustomer partnership is more than “putting customers first” or working toward innovative production solutions for manufacturing problems. It also requires a commitment to forging long-term relationships that help us realize the synergies of know-how, experience and flexibility for both partners

Today’s unrelenting competition forces companies to be much more creative and flexible when dealing with customers – to give them exactly what they want, quicker than ever. For Oerlikon, cultivating a close partnership with customers helps us maintain the focus we need to make consistently good decisions and harness the power and commitment to weather volatile periods. Our partnerships represent Oerlikon’s ability to work with and anticipate what customers need – in a timely manner.

Whatwebuild–webuildontrustWhile the goal is a sustainable comeback, the path to get there includes staying alert to opportunity, being open to harvest the insights of creative minds outside Oerlikon Systems and the Oerlikon Group, and being an “excellent communicator.” This goes back to partnership and benefiting from different viewpoints to create innovative – and high value – solutions.

For us, all our efforts come back to trust; we build on trust to enhance our performance as a group and partner. Being innovative, finally, is an important result of trust, but certainly not the only benefit… for Oerlikon and for you.

“Beinginnovative,finally,isanimportantresultoftrust,butcertainlynottheonlybenefit…forOerlikonandforyou.”

Bu

Chip2011|BusinessNews|12/13

GebhardStrittmatter,SeniorKeyAccountManageratOerlikonLeyboldVacuumandStephanVoser,StrategicProjectManagerAdvancedNanotechnologyatOerlikonSystemstalkaboutthePVmarketandworkingtogetherinproductdevolopment.

GettingtheBestfromtheOerlikonTeam

PICTURE1(LEFT):Solarisproductionsystem

PICTURE2(ABOVE):TURBOVACMAGW600IP

PICTURE3(RIGHT):TRIVACFamily

StephanVoser

GebhardStrittmatter

Oerlikon Leybold Vacuum is an innovative partner for new production systems

> Oerlikon Leybold Vacuum delivers vacuum technology to most of the Oerlikon Group. What are the benefi ts of using Oerlikon Leybold Vacuum as a technology partner, especially for crystalline PV applications?

StephanVoser:Collaboration among the Oerlikon companies has real advantages; especially for PVD production platforms. Oerlikon Leybold Vacuum is a leader in vacuum pumps and provides the corresponding vacuum technology and Oerlikon Systems builds equipment and optimizes processes. The close collaboration between the two companies is one reason why systems like the SOLARIS have become such a success.

GebhardStrittmatter:I’ve seen it with many of the development projects. During the development of a new production system, innovation is facilitated by open and direct communication between the experts in the joint Oerlikon team. This culture promotes the quality of ideas and accelerates the innovation process.

SV:It’s true. For many applications, knowledge of the different aspects of the manufacturing process among the team is complementary. With our SOLARIS sputtering system we were able to bring in specifi c customer requirements at an early stage in the development, design and implementation of the system.

> Why did you integrate concepts of other system designs – such as optical storage media and semiconductors – in the new SOLARIS system? Including the necessary vacuum technology?

SV:The existing system concept combined the best of both worlds. With our SPRINTER and CLUSTERLINE platforms, highly automated handling is combined with state-of-the-art cathode technology and horizontal substrate handling know-how. Used for crystalline solar cells, the SOLARIS can do anti-refl ective and passivation coatings on the front and metallization of the backside. In terms of productivity, process quality, energy consumption and space requirements, the system delivers outstanding results.

GS:Going back further, the “touch and feel” of the SPRINTER also owes something to Oerlikon Leybold Vacuum’s innovative vacuum technology. With the magnetic bearing MAG W 600, we integrated a powerful, robust and reliable turbo molecular pump into the system. Today, the fi ve-axis active bearing turbo-molecular pumps are especially suitable for solar applications.

> Which vacuum components – and how many – are now installed in the SOLARIS system?

GS:Oerlikon Leybold Vacuum supplies fore and high vacuum pumps; the fore vacuum is covered by our proven TRIVAC rotary vane pumps, and the high vacuum is produced with the TURBOVAC MAG W 600 magnetically levitated turbo molecular pumps. Both pump types are used around the world and have a reputation for reliability. For example, the TRIVAC pump is standard equipment in the SPRINTER, the production standard in the data storage industry. We simply adopted this highly successful concept for SOLARIS.

> What qualities must a vacuum system have in order to ensure the optimal operation of a production system like the SOLARIS?

SV:The best vacuum pump is a pump you simply don’t notice – and that runs for as many years as possible.

GS:A major advantage is long, maintenance-free run times in continuous operation – with reliable back-up options if necessary. This is why reliable and innovative products help optimize modern production systems.

>Where do you see the future market for solar cell coating systems?

SV:The key markets are Asia, the U.S.A. and Germany.

GS:For an equipment supplier, most of the photovoltaic manufacturing activity will take place in Asia. Fortunately, we have a strong presence in that part of the world, as does most of the Oerlikon Group.

“Theexisting system concept combined

thebestofbothworlds.”Stephan Voser

Chip2011|BusinessNews|14/15

Therapidevolutionofsolarcelltechnologycontinuesapace.Newandintelligentenergymanagementsolutionsaswellaslowerproductioncosts(ofhigherefficiencyphotovoltaicmodules)willbedecisivefactorsinthefuturesuccessofthetechnology.Butthequestionremains:whichofthePVtechnologieshasthegreaterpotentialtobestconvertsolarenergyintoelectricityanddeliveraffordablepower?

TobiasRoschek,Product Manager Development & PEPPER Project Coordinator

GETTING TO GRID PARITYWITH THIN FILM TECHNOLOGYOerlikonSolarleadsEuropeanPEPPERR&Dproject

Se

Projectgoals:ImprovedperformanceandlowercostsBecause of low energy and material costs, industry experts have great hopes for solar modules based on thin film technology. In September 2010, the European Commission launched the “PEPPER” research project aimed at improving the efficiency and costs of thin film solar modules. With a €9.4 million budget, the project is led by Oerlikon Solar and includes a number of partners:

• Photovoltaic Laboratory / EPFL Institute of Microengineering (Switzerland)

• University of Northumbria (United Kingdom)

• University of Patras (Greece)• Bosch Solar Thin Film (Germany)

for module production• Heliosphera (Greece) for module

production• Linde (Germany) for gas supply

The PEPPER project is set to promote collaboration between research institutes, industry vendors and solar cell manufacturers to enhance the advantages of thin film Si solar technology.

ThinnerglasssubstratesA key aspect in the production of thin film solar modules is the composition of the glass substrates (i.e. the material thickness), which currently makes up more than 10% of the total production costs. The costs of glass substrates will increase to a 20% share in the newest generation (Thin Fab™) systems due to reduction of other manufacturing costs. One of the goals of the PEPPER project is to support new developments in the glass industry to lower material costs – and contribute to reducing overall production costs.

LighttrappingandsiliconlayersThin film Si solar cells consist of many layers. The first layer is a transparent conductive oxide, or TCO, which features many key functions. Because the TCO layer is on the sunny side of the solar cell, it must be absolutely transparent. As the top electrode it must also be highly conductive to effectively collect electricity with minimal loss. Transparency and conductivity are usually opposing characteristics and demand a careful compromise in the development of the layer. ‘Light trapping’

is a further important characteristic that, due to the rough surface of the TCO layer, disperses and optimally injects the incoming light into the solar cell.

Maximizing this light trapping effect and also achieving good growth of the following layers is a key challenge in the manufacture of higher efficiency cells. The development of a new type of process chamber (where layers are produced) will further enhance the growth of Si layers. TCO and Si layers are the key building blocks to create modules with the greatest potential for improved energy conversion efficiency.

ImprovingthecleaningprocessAfter deposition of the Si layers, the process chambers are cleaned with a type of gas containing fluoride (typically, NF3 or SF6 are used). However, the costs of the gas and the time needed for cleaning are serious cost factors for a thin film module. The PEPPER project seeks to qualify molecular fluoride (F2) instead of the NF3 or SF6 versions. The goal is to substantially lower production costs with the use of a less expensive gas and a higher cleaning rate.

WorkingtogetherforsuccessDrawing from the experience and know-how of the PEPPER participants, the project will help optimize the current generation of Oerlikon Solar production lines. Project results will be tested on our pilot systems and integrated into the production lines at Bosch Solar Thin Film and Heliosphera.

The results of the project will help thin film solar cell technology contribute toward the goal of grid parity – making solar energy even more environmentally friendly and more sustainable.

Chip2011|BusinessNews|16/17

ThenewTCOisthenextgenerationofOerlikonSolar’sfullyautomatedsystemdesignedforthedepositionoftransparentconductiveoxide(TCO)ZnOcontactsonlarge1.4m2solarmodules

HexagonHexagon

HEXAGON:High Throughput

at the Lowest Possible Cost

HEXAGON:ProvenProductionTechnologieswithLowerProductionCosts

Oerlikon Systems now offers the next generation of integrated metallization production – HEXAGON. Based on our proven CLUSTERLINE® platform, the new system is ideally suited for 300mm back end metallization. The fi ve process stations, the airlock and the front end all feature the full customization potential found in the CLUSTERLINE. Applications such as the recently introduced ultra-low temperature processing for packaging come with the standard portfolio. The system’s indexing wafer handling ensures highest speed and reliability without compromising source isolation or vacuum performance. This new platform integrates all capabilities on the smallest footprint, and an open layout for very easy access to all components. “HEXAGON offers our customers the options to run their existing processes on a better platform and to drive down their production cost by exploiting the full potential of the new system,” says Bart Scholte van Mast, Head of Engineering.

“HEXAGONcombinesthespeedandenduranceofourphotovoltaicandopticalstorageequipmentwiththemostadvancedprocessingcapabilitiesfromour300mmsemiconductorlines.”

BartScholtevanMast,Head of Engineering

Hx

Chip2011|Hexagon|20/21

HEXAGON-Keyfeatures

•Application:

VersatileUnder-bumpandbacksideMetallization,Redistribution,WaferlevelPackaging,ThinWaferProcessing,Through-Silicon-Via(TSV)

•Throughput:

3-4xfasterthananyexistingsinglewafersystem(waferhandlinglimitationat100wafers/hr)

•Efficient:

50%lowercostofownershipshown

•SmallestFootprint:

50%smallerthananycomparablesystem

•Mostreliable,inherentlysafehandling:waferloss

<<1:20,000

•Advancedprocessisolationandcontainmentdesign:

LowestX-contamination

•Processstations:

degas,etch,PVD300mmsourcetechnology,proven&cost-efficient.

•Enduring:

HighestAvailabilityMaintenanceintervalsofover4,000wafers.Fastkitexchange.

Semiconductor

Albert Koller on the New Way

of Working

LLS Means Long Life & Security

OSRAM: Lighting up LED

Improved etch kit life for

CLUSTERLINE

Processing polymer

substrates

Arctic Chuck - very low temp

processing

CLUSTERLINE: Multi-Source

Flexibility

AlbertKoller,HeadofPVDWafer,talksaboutthereboundofthesemiconductorindustryandhowcustomercollaborationdrovethedevelopmentofournewestproductionsystem.

NEW WAY OF WORKING

According to recent data from Gartner, a research company, the latest growth numbers from the semiconductor industry remain extremely encouraging. Spending on equipment grew rapidly, more than doubling over the past 18 months, with most chip manufacturers seeing continued strong growth ahead.

“Recovery came more quickly for our industry than anyone expected,” admits Albert Koller. “And this is particularly good news for suppliers like Oerlikon. Thankfully, there should also be less volatility in the near future.”

SpeedinguptokeepgrowingFurther good news shows that Oerlikon’s target markets (packaging, LEDs, inter-connects and power devices) expect a sustainable grow curve for the coming year. Koller also sees the efforts of the past two years fi nally paying off.

“We’ve made great strides in collaborating with our customers,” he adds. “An

important aspect of our work is anticipating relevant solutions and executing quickly; today we design and build a new platform for testing in under a year.”

DialoguefordesignBeing alert to the emerging technologies and meeting manufacturer requirements was fi rmly behind HEXALINE, Oerlikon’s newest production platform (see page XX). This new system began with a “question-and-answer” session to identify manufacturers’ ‘cost of ownership’ priorities. The Oerlikon R&D and product development teams also spoke with end-users and vendors to best understand the “big picture.”

“We raised the dialogue – from the initial product development phase all the way to after sales and support,” explains Koller. “HEXALINE is not only amazingly fast and easy to maintain, we also accommodate very specifi c sputter materials. This is the new way of working for us.”

AlbertKoller,HeadofSemiconductors,talksaboutthereboundofthesemiconductorindustryandhowcustomercollaborationdrovethedevelopmentofournewestproductionsystem.

NEW WAY OF WORKING

According to recent data from research companies like VLSI and Gartner, long term growth rates for the semiconductor industry remain encouraging. Spending on equipment grew rapidly, more than doubling over the past 18 months, with most chip manufacturers seeing continued strong growth for all applications where Oerlikon is involved.

“Recovery came more quickly for our industry than anyone expected,” admits Albert Koller. “And this is particularly good news for suppliers like Oerlikon. Thankfully, there should also be less volatility in the near future.”

SpeedinguptokeepgrowingFurther good news shows that Oerlikon’s target markets (Advanced Packaging, Backside Metallization for Power Devices, LEDs, MEMS/TFH and interconnects) expect sustainable growth for the coming years. Koller also sees the efforts of the past two years fi nally paying off.

“We’ve made great strides in collaborating with our customers,” he adds. “An important aspect of our work is anticipating relevant solutions and executing quickly; today we design and build a new platform for testing in under a year.”

DialoguefordesignBeing alert to the emerging technologies and meeting manufacturer requirements was fi rmly behind HEXAGON, Oerlikon’s newest production platform (see page 20/21). This new system began with a “question-and-answer” session to identify manufacturers’ ‘cost of ownership’ priorities. The Oerlikon R&D and product development teams also spoke with end-users and vendors to best understand the “big picture.”

“We raised the dialogue – from the initial product development phase all the way to after sales and support,” explains Koller. “HEXAGON is not only amazingly fast and easy to maintain, we also integrate the latest process requirements for future customer products. This is the new way of working for us.”

“Recoverycamemorequicklyforourindustrythananyoneexpected…andthisisparticularlygoodnewsforsupplierslikeOerlikon.Thankfully,thereshouldalsobelessvolatilityinthenearfuture.”AlbertKoller,HeadofSemiconductors

Chip2011|Semiconductor|24/25

LLS MEANS “ LONG LIFE & SECURITY”

Se

It’saperfectexampleof‘constantinnovation.’ThenewupgradestotheLLSsystemmeetthelatestcustomerrequirements–andalsomaintainthesystem’strademarkflexibilityforemergingdepositionprocesses.

In the semiconductor world, the LLS production platform is a veteran: the fi rst LLS shipped to a customer site in 1979; since then more than 300 systems have been sold to customers around the world. More than 60 of them are the latest generation LLS EVO II model. Many of the original 1st generation LLS systems are still working away – reliably running numerous applications. Remarkably, some customers are even ordering retrofi ts for these systems!

What’snew?The secret to the LLS’ longevity is well-known. A high degree of fl exibility – in substrate sizes, sputter modes, target materials and co-sputtering – was built into the system concept from the beginning. The newest upgrades meet the latest customer requirements:

• WindowsTM7-basedcontrolsystem the new operator interface features RAID 1 mirroring of the hard disks for increased process data security.

• NewAK515cathodethe new oval “racetrack” design of the sputter target and the anode frame reduces target material use and also reduces the re-deposition zones and improves the particle performance; retrofi ts are available for all AKQ515 cathodes.

• Newdesignofshieldsreplaces the previous shutter box confi guration to allow cooled shielding, particle reduction and the wider sputter angle improves sputter yield; retrofi ts are available for all LLS EVO systems.

MaintainingatraditionToday, the LLS continues to deliver excellent fi lm uniformity and reproducibility for consistent production quality, simple operation and easy maintenance. The newest upgrades will ensure that the tradition is maintained.

NewupgradeforLLSsystemimprovesperformanceandmaintainsfamiliarreliability

HubertBreuss,Product Line Manager Semiconductors

Asaleaderinoptoelectronicsemiconductors,OSRAMOptoSemiconductorsbuildsoninnovativeandreliableproductionsolutionsfromOerlikonSystems–forover30years.Today,thispartnershipcontinuestoactivelylookforwaystooptimizeLEDmanufacturing.

By HubertBreuss,Semiconductorsat Oerlikon Systems

OSRAM Opto Semiconductors:WorkingontheFutureofLightProducing LEDs as part of a long-term partnership

Dr.AndreasWeimar,Director Process Engineering/R&D Front End at Osram Opto Semiconductors

OSRAM Opto Semiconductors, a former part of Siemens Semiconductors, was founded in 1999, and is today one of the world’s leading manufacturers of optoelectronic semiconductors for illumination (LED), visualization (LED) and sensing (IR, lasers). Based in Regensburg (Germany), where it develops and produces complex semiconductor chips, the company has a global LED production and distribution network. Facilities are located in Regensburg and Penang (Malaysia), two of the most modern opto chip production fabs in the world.

“We’ve worked with Oerlikon Systems for decades, long before we were known as OSRAM Opto Semiconductors,”explains Dr. Andreas Weimar, Director Process Engineering / R&D Front End. “And our partnership continues today with the current LED technology.”

“…extensivetechnicaldiscussionsensureatruewin-winsituationtosolveallupcomingLEDproductionchallenges…”Dr.AndreasWeimar,DirectorProcessEngineeringatOSRAMOptoSemiconductors

Se

Chip2011|Semiconductor|26/27

AlongpartnershipThe collaboration between OSRAM Opto Semiconductors and Oerlikon Systems began with the start of LED production – with intensive rounds of testing and sampling on an Oerlikon evaporation system. Eventually, the fi rst ‘box coater’ was sold to OSRAM and production started up. Initially, the evaporation systems were used for lift-off processes. Later, additional Oerlikon systems were added for dry etch capabilities, and the LLS sputter systems for metallization processes.

“This was the start of a fruitful relationship,” explains Dr. Gotthard Kudlek, Key Account Manager at Oerlikon Systems. “The company has long been one of the most innovative outfi ts in the industry.”

ReliableLEDproductiontoolToday, OSRAM offers a broad portfolio of optoelectronic semiconductor components that are in demand by the automotive, consumer electronics as well as general lighting. For LED production, the company runs a large number of LLS EVO batch sputter systems at both manufacturing sites in Asia and Europe for 2”, 4” and 6” production – to produce both “red” and “blue” LEDs. The LLS has established itself as a reliable mainstay for the production of LEDs and III-V semiconductors, where the use of Ge and other costly materials demand careful handling and processing.

“We’ve worked very closely with the Oerlikon team over the years and there are many LLS batch systems in our production lines,” adds Dr. Andreas Weimar. “And we’re very interested in new technology platforms such as the CLUSTERLINE® 200 II.”

Oldfriends–newopportunitiesAfter more than 30 years, the partnership with OSRAM Opto Semiconductors is very strong. The Oerlikon Systems product development and R&D teams in Liechtenstein consult weekly with the customer, to discuss process issues, new applications and potential improvements.

“The extensive technical discussions between OSRAM Opto Semiconductors and Oerlikon ensure a true win-win situation to solve all upcoming challenges regarding continuous improvement programs both for LED production and Oerlikon tool issues. We appreciate this support and look forward to continuing our collaboration,“ adds Dr. Andreas Weimar.

“The relationship with OSRAM is very close,” summarized Dr. Gotthard Kudlek. “We work together like old friends – and we’re working on new opportunities all the time.”

By courtesy of Osram Opto Semiconductors.

ThenewlydesignedetchchamberusingtheArcticChuckandotherinnovativechamberfeaturesnowdeliveruptoa10ximprovementinetchkitlifecomparedtotheoldergenerationofhardware.ThisgivestheCLUSTERLINE®PVDplatformtrulyworld-classetchkitlifetimeperformance.

The key to this improvement was to optimize the etch processes for higher performance, control wafer temperatures using Arctic Chuck, and at the same time introduce chamber maintenance plans that periodically clean the chamber between jobs.

The chamber maintenance (cleaning), which is typically carried out between cassettes to minimize the impact on production fl ows, is fully automated using our AMS software; no operator intervention is needed. The maintenance ensures a good operating condition and excellent repeatability between lots, with the added benefi t of dramatically extending kit lifetimes.

The end result is a big improvement for our customers, who benefi t from

• Simple equipment maintenance• Long etch kit lifetimes• Quick parts exchange at end of kit life

The processes have been shown to be stable in production and are applicable to the most advanced technology nodes.

ImprovingtheEtchKitLifetimeforCLUSTERLINE

Toovercometheseprocesschallenges,theOerlikonSystemsdevelopmentteammodifiedtheCLuSTERLINEPVDsystembyredesigningtheetchchamberandimprovingtheoverallprocessflow.

Se

Chip2011|Semiconductor|28/29

In particular, the use of spin-on organic materials, such as polyimide (PI) or other eWLB (embedded wafer level ball grid array packaging) organic wafer materials create multiple new challenges:

• These organic materials readily absorb signifi cantly more moisture than silicon nitride fi lms, which can outgas during subsequent vacuum and/or thermal processing, causing problems with process control

• Depending on how they are annealed, these organic fi lms are prone to outgas carbon-containing materials during subsequent dry etching or deposition processes (see Figure 1+2)

• Organic materials are sputtered into the chamber walls due to the non-selective argon sputtering process typically used

• The outgassed materials can cause device performance issues, such as higher contact resistance, and poor wafer to wafer repeatability

• The sputtered materials also have a detrimental effect on equipment maintenance intervals and overall productivity

“Control of contact resistance is particularly challenging in such a process environment. In addition, after processing only a few hundred wafers, the etch chamber already becomes clogged with a by-product. The manufacturer has no choice but to stop production and send someone in to clean up,” explains Dr. Juergen Weichart, Senior Scientist at Oerlikon Systems.

Oerlikon now offers an effective solution.

TheOerlikonapproachTo overcome these process challenges, the Oerlikon Systems development team modifi ed the CLUSTERLINE PVD system by redesigning the etch chamber and improving the overall process fl ow. This process typically includes:

Degas>Etch>Deposition(Ti,thenCu)

Each of the individual process steps were enhanced to enable successful integration of the organic fi lms:

• Degas: remove as much of the “extra” outgassing material as possible from the wafer to prevent it from entering into the subsequent processing steps

• Etch (contact clean): remove the native oxide from the pads and prevent re-oxidation or contamination (by newly introduced organic materials) of the now open contact pads

Enabling a PVD system to process polymer materials

AdvancesinprocesstechnologyandmaterialsusedinpackagingapplicationscontinuetodrivetheneedtoimprovetheperformanceofPVDsystems.Forexample,usingnewmaterialsotherthansiliconnitridepresentadditionalchallengesforthemakersofPVDdepositiontools.

MeetingaKeyAdvancedPackagingChallenge

By Dr.PatrickCarazzetti, Senior Process Engineer, and Dr.FrantisekBalon, Systems EngineerSystems Engineer

• Metallization: cover the open contact before any recontamination occurs and process in a regime which does not affect the underlying organic layer

• Improve equipment maintenance intervals to maintain high tool productivity

“The key thing is to keep the contact clean during etch and before the following metal is deposited,” adds Juergen Weichart.ThesolutionOne of the critical steps to ensure successful processing of these wafers was to control wafer temperature in the ICP etch module to help avoid degradation of the polymer, which would result in outgassing. An “Arctic Chuck” (see our report on page 33) was installed in the etch module to better control wafer temperatures, helping to substantially minimize wafer outgassing (see Figure 2). This results in:

• Better control of contact resistance• Reduced chamber contamination /

extended chamber lifetimes

Other changes included optimization of the etch chamber design and stringent control of in-chamber maintenance between wafer jobs (effi cient removal of the sputtered and evolved materials from the chamber walls). The maintenance is carried out automatically using Automated Maintenance Services (AMS) which are process sequences that are run between process lots to help keep the chamber in good condition.

The benefi ts of upgrading the CLUSTERLINE PVD platform with a redesigned ICP etch module led to a number of tangible process improvements:

• Successful integration of organic PI or eWLB materials in the packaging process

• Signifi cant reduction of contact resistance (Rs) by reducing contaminants

• Excellent control of contact resistance from wafer to wafer and lot to lot. (see Figure 5)

• Signifi cant improvement of chamber lifetimes with up to 10x improvement in etch kit life (see “Improving the Etch Kit Lifetime” on the previous page)

“We now have excellent control of contact resistance, and in addition, the chamber lifetime of a few hundred wafers is now improved to several thousand wafers,” concludes Juergen Weichart. “Compared to the previous packaging hardware, the new etch module provides substantial savings in maintenance costs to the manufacturer and extends the tool capability to the next IC generation.”

For a production system outfi tted with the optimized etch hardware module, this extended capability means the CLUSTERLINE can now run processes for next generation devices. Of course, most current CLUSTERLINE systems can be retrofi tted with the new optimized etch hardware module.

Figure1:Schematic cross sections of the etch chamber for the standard (in red) and enhanced hardware (green). The new enhanced hardware shows considerably less outgassing and is generally much cleaner than the old chamber design.

Figure2:Shows a typical RGA (Residual Gas Analysis) trace obtained using new enhanced etch chamber hardware, compared to a typical trace using the old hardware. Outgassing is signifi cantly reduced in the new chamber design.

Figure3:Simplifi ed cross section through a typical device structure.Key for the etch process is to keep the contact clean during etch and before the following metal is deposited. The critical thing is to control and minimize the generation of volatile compounds and other sputter by-products during the etch, while removing the metal oxide.

Figure4:When “improperly” processing organic materials, the rapid rise of the contact resistance even within a single cassette of wafers (red line) is a familiar problem. In comparison, processing these materials “correctly” results in excellent control of contact resistance throughout the whole cassette, keeping contact resistance well within process control limits, even for devices from the most aggressive technology nodes (green line).

Figure5:An example of normalized contact resistance (Rs) data, collected with the new enhanced hardware design. Here the contact resistance is well within control limits and also exhibits excellent stability over a full cassette of 25 wafers.

“Wenowhaveexcellentcontrolofcontactresistance,andinaddition,thechamberlifetimeofafewhundredwafersisnowimprovedtoseveralthousandwafers”

SeSe

Bringing innovative products to market and working cost-effectively – again and again – sets enormous requirements for today’s manufacturer. A proven production breakthrough may no longer be good enough; it’s time to evaluate and look for improvements. A cycle of constant improvement has become the standard.

Such expectations are clearly felt at Oerlikon Systems as well. Customers enquire with increasing frequency about enhanced processes, new materials, and higher throughput.

“The single constant in this process is speed,” says Dr. Simon McClatchie, Head of Process Engineering at Oerlikon Systems. “Often, the client depends on us for an effective solution “today.” And our team works quickly. We listen, we work, we solve. Providing innovative solutions... it’s who we are.”

Working fast is nothing new to Oerlikon. The challenge of processing polymers (see “Packaging Challenge” on this page) is a good example of the new manufacturing realities: Earlier this year, a production tool was placed at a customer site to help defi ne the dimensions of the production challenge. Soon after, the Oerlikon development team was focusing on the problem and formulating solutions. Initial results were inadequate, requiring countless rounds of testing and optimizing the process. By mid-year, however, the team presented a solution with excellent results.

“The client was pleasantly surprised,” adds Simon McClatchie. “We had brought concrete results to the production line much more quickly than they had expected.”

The compact structure of the Oerlikon organization – and our priority of working with the client as a team – is a key factor in accelerating process development and getting to a solution quickly: “Our customers have come to count on us for an extra level of collaboration – and just getting the job done.”

THEOERLIKONADVANTAGE:DOITNOW

1

2

3

Chip2011|Semiconductor|30/31

Standard Hardware

Enhanced Hardware

H2O Partial Pressure

CO2 Partial Pressure Degas Etch

DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDeeeeeeeeeeeeeeeeeeeeeeeeeeeeeeeeeeegggggggggggggggggggggggggggggggggggggggaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaassssssssssssssssssssssssssssssssssss EEEEEEEEEttttttttttttcccccccccccccccccccccccccccccccccccchhhhhhhhhhhhhhhhhhhhhhhhhhhhhhhhhhh

Time

OrganicMe

Substrate

Ar+ MeAr+

OrgVC

MeO

In this figure Me = metal, VC = volatile compound, Ar+ is an argon ion, MeO = metal oxide, Org = organic material sputtered

0 5 10 15 20 25

Con

tact

Res

ista

nce

(Rs)

Wafer Count

Control Limit

0 5 10 15 20 25

Con

tact

Res

ista

nce

(Rs)

Wafer Count

Control Limit

4

0,0

0,5

1,0

1,5

2,0

2,5

3,0

0 5 10 15 20 25

Nor

mal

ized

Rs

Wafer Count

Upper Control Limi t

5

Substrate chucking at subzero (below freezing) temperatures allows a signifi cantly higher process power level compared to standard cooled chucks (at room temperature) for temperature sensitive substrates or fi lm materials. This enables running temperature-limited processes at higher throughputs on our CLUSTERLINE® system.

ARCTIC CHUCK: VERY LOW TEMPERATURE PROCESSING

EwaldStrolzCLUSTERLINE Product Manager

Chip2011|Semiconductor|32/33

Chucktemperaturerange

-30° to +40°C Totalsystemcoolingcapacity

at-20°C: 4.5kW Accuratechucktemperaturecontrol

Closedcoolantsystem

CompatiblewithCLUSTERLINEmodularchuckdesign

CompatiblewithESC,mechanicalclampedchuck,clamplesschuck,RF-bias

FullyintegratedtocontrolSW

Twotypicalapplications1) The deposition rate of lead free soft solder PVD processes is obviously limited by the melting temperature of the solder material. The melting temperature of these materials, such as AuSn, AuGe and SnAg is low. E.g. for eutectic AuSn (80/20) the melting temperature is 278°C. (Figure 1)

In several applications it turned out that temperatures even signifi cantly below the eutectic solder melting temperature are critical, as they still cause some phase change in the solder. This typically requires keeping the substrate temperature far below the melting temperature of the solder.

For example, for thick (>1μm) eutectic solder deposition on the backside of thin wafers, the throughput is predominantly limited by the allowed maximum temperature of the wafer during the process. Combined with an ESC which ensures an optimal thermal contact between substrate and chuck, the process window for this application is signifi cantly enlarged if the chuck temperature is reduced from room temperature (20°C) to -20°C. This allows an increased sputter rate, resulting in a drastic throughput improvement.

2) To achieve a needed low contact resistance for RDL / UBM, it’s important to have low residual gas pressure during the pre-clean etch process prior to the metallization steps. High residual gas pressure levels lead to reduced etch rates and even some re-oxidation, and high contact resistance. With eWLB (Embedded Wafer Level Ball Grid Array) / Fan-out wafers, silicon dies are molded into a polymer wafer, a “reconstituted wafer.” Most of the wafer material consists of polymers, which heavily outgas under vacuum. It is particularly important to limit the outgassing of the eWLB substrates at raised temperatures during the pre-clean etch process. In addition to an initial degas step, a forced wafer cooling during the pre-clean etch and the fi rst metal deposition step reduces the outgassing during these steps, enabling a successful electrical contact for the RDL / UBM layers on eWLB substrates.

The arctic chuck feature combined with wafer clamping ideally allows running etch and deposition processes at the lowest possible temperature for eWLB substrates.

ConceptA central chiller with distribution lines to all chilled chuck stations is available for applications with multiple arctic chucks on the same CLUSTERLINE system. The cooling lines are fully insulated to avoid thermal loss. This chiller is integrated in the CLUSTERLINE control system, allowing control and logging of the temperature set point for the cooling media from the user interface.

In addition to cooling, each chuck has an individual heater with an over temperature limit switch. This allows individual control of a temperature set point on each station and accurate temperature stabilization by compensating for the process heat input fl uctuation (Figure 2). Also, the chucks can be quickly heated to room temperature for chamber venting to avoid condensation on the cold chucks at ambient atmosphere temperatures. Due to the system’s high cooling capacity, the chucks can be cooled down quickly after a chamber PM. For example, on a CLUSTERLINE 200II the chuck is cooled down from room temperature to -30°C in 30 minutes (see Figure 3). The chuck temperature can be controlled and logged from the CLUSTERLINE user interface.

Alternatively, an individual chiller is available for applications with a single arctic chuck. The cooling lines are fully insulated to avoid thermal loss. This chiller is integrated in the CLUSTERLINE control system to control and log the cooling temperature set point from the user interface. The chucks can be heated to room temperature by the cooling media if the chamber needs to be vented to avoid condensation on the cold chucks at ambient atmosphere temperatures.

Technical features

Figure1:AuSnphasediagram[Source: ASM Binary Phase Diagrams]Figure2:Modularchuckbasewitharcticchucksetupwithheaterandcoolinglines.Figure3:Cooldowncurveforthearcticchuck

Fig.2

Fig.1

Fig.3

"arctic chuck": einkühlen von P8970 P3 Ag1Tchiller = - 40 °C

-40

-30

-20

-10

0

10

20

30

0 5 10 15 20 25 30 35 40 45 50 55 60

Time [min]

Chu

ck t

emp

erat

ure

[ °

C ]

“TherelativelysmalltargetsizesusedwiththeMulti-SourceareanidealsolutionforreducinginvestmentsintargetmaterialsforR&Dapplications.”

RFcathode

Integratinga“Multi-Source”configurationwiththehighlyreliableCLuSTERLINE®platform–withuptofourtargetspercathode–providesremarkableversatilityandprocessflexibilityforR&Dapplications.

MULTI-SOURCE: COMBINING R&D FLEXIBILITY AND RELIABILITYTypicalApplicationsThe advantages of combining multiple cathodes in a single process chamber are obvious, especially for R&D applications where fl exibility is often crucial (see our report, “Multi-Source for Reactive Sputter Deposition of Al2O3” in the previous Silver Edition of CHIP). The Multi-Source confi guration can accelerate the R&D process, or enable more complex layers and processes. For example, it can be used to develop an optimal material mix by co-sputtering from multiple targets, each with a different material. The composition of materials can be defi ned very easily by setting the power of the individual targets of the Multi-Source.

In addition, the multiple cathodes can be used to run multilayer fi lm stacks within a single process chamber. Combined with an optional shutter, cross contamination between target materials can be prevented by pre-sputtering a cleaning step on the shutter blade. This allows the use of a single process module as a full-fl edged R&D tool, or the number of target materials can be increased markedly when compared with the available process modules on a typical CLUSTERLINE system layout.

The hardware confi gurations currently available for the CLUSTERLINE allow DC, DC-pulsed, RF, and RF/DC superposed sputter modes for the deposition of conductive and dielectric materials, including reactive sputtering. The RF/DC superposed sputter mode option features capabilities for low damage deposition of TCO layers.

The high initial costs of precious material targets are a typical budgetary hurdle in the R&D area. The relatively small target sizes used with the Multi-Source are an ideal solution for reducing investments in target materials for R&D applications.

EwaldStrolzCLUSTERLINE Product Manager

DCpulsedcathode

Chip2011|Semiconductor|34/35

Multi-Source-Technicalfeatures

•Upto4targetpositionsinonechamber

•Co-sputtering•DCandDC-pulsedsputtermode

•RF&RF/DCsputtermode

•Fieldprovensputtermagnetrons

•Rotatingchuckforoptimumfilmuniformity

•Clamp-lessandmechanicalclampedchucks

•Optionalshutter

Multi-SourceconfigurationsontheCLN200II/300II

•MSQ200upto4xARQ81(100mmTargets)

•MSQ2002xARQ81&2xARQ81RF(100mmTargets)

•MSQ2003xARQ81&1xARQ81RF/DC(100mmTargets)

•MSQ300upto4xARQ127(150mmTargets)

•MSQ3003xARQ127(150mmTargets)&1xARQ21RF(156mmTarget)

•MSQ300upto3xARQ21RF(200mmTargets)

Multi-Source-Performancedata

•Example:GSTphasechangematerialdepositedfromMSQ300on200mmSiSubstrates:

•DesiredcompositionGe2Sb2Te4(BetaphaseGe22.2%Sb22.2%Te55.6%)

•Madefrom2targetswithcompositionCathode1withGeTetargetCathode2withSb2Te3target

•Layerthickness:750Å

•DepositionRate:5Å/s

•Filmuniformity:

1.6%rangeovermean•FilmcompositionmeasuredwithAES:

Ge22.1%Sb24.2%Te53.7%

•WiWcompositionvariation:<0.2%

1.

2.

3.

4.

5..

Chip2011|Semiconductor|36/37

HardwareconceptThe Multi-Source cathodes on the CLUSTERLINE system are arranged off-axis and tilted towards the center of the process module (see Picture4) and the substrate is rotated by a rotation chuck (see Picture5), which ensures almost perfect rotationally-symmetric fi lm thickness uniformity for all layers. Also, very homogeneous fi lm thickness uniformity can be achieved on the rotating substrate by properly choosing the tilt angle, off-axis and target-substrate distance.

The rotating chuck is cooled by passive black body radiation cooling and also heated by quartz lamps. A pure lamp heated chuck allows running very high substrate temperatures of more than 800°C (see Picture1), e.g. for the deposition of barium-titanate or PZT with in-situ grown Perovskite structures.

With an installed base of more than 20 process modules, the Multi-Source cathode concept is currently in use for optical disk and semiconductor applications at several customer sites around the world.

PI CTURE 1:A red-hot 150mm rotating chuck on the CLUSTERLINE platform.

PICTU RE 2:Inside look at a Multi-Source with four individual targets (MSQ200).

PI CTURE 3:Very hot rotating chuck temperature vs. heater power; wafer temperature versus the heating power of the quartz lamps on a very hot rotating chuck.

PICTU RE 4:Cross section diagram of a Multi-Source confi guration (MSQ200).

PICTU RE 5:Cross section of a rotating chuck used in combination with a Multi-Source on the CLUSTERLINE platform.

Research&Development

What We Do as an R&D Team

Identifying the Future and

How R&D Does Research

Deposition of PZT fi lms by

RF Magnetron Sputtering

Thin Film Lithium Batteries on

the LLS

Enhanced Roof Cathode for Thin

Film Heads

Identifyattractive

trends*

Assembleroadmaps forour markets

Internal evaluation by businessunit teams

Customerpresentation:

challengeand review

“Finalists”are prioritized

in the R&Dproject list

Repeatannually

IdentifyingtheFutureHow does the R&D team do their ‘research on R&D’? A large number of technology inputs come from our customers, who often present a list of technologies where they see Oerlikon could successfully contribute. The list is reviewed, commented and revisited again. This iterative process narrows the list, with the “fi nalists” included in the defi nitive R&D project list.

*An example of an attractive trend? Thermoelectrics. Currently these devices are made using bulk material manufacturing technologies. Studies have revealed that devices manufactured with thin fi lms may have a substantial performance benefi t. This is exactly the type of information Oerlikon is interested in – an unrelated technology may develop requirements that coincide with what we are good at: thin fi lms.

Chip2011|Research&Development|40/41

R&D at Oerlikon Systems is a wide-ranging discipline. The specialists – including physicists, chemists and engineers – are located in Liechtenstein and the U.S.A. and offer areas of specifi c expertise but also remain in tune with the “big picture.” Traditionally, the efforts of the R&D teams remain focused on select competencies:

RF and plasma technology Hardware and advanced plasma physics Individual applications and thin fi lms (PVD, PECVD, etch, etc.)

An important part of the big picture is the drive to identify new technologies and develop future applications. One such example is our recent membership in a large-scale EU research project with various university and industry partners to develop a thermo-electric generator – a promising and futuristic device mounted on the exhaust train of automobiles to reclaim “waste” energy from the motor.

Focusonproductionsolutions

But most of the current work refl ects a focus on practical matters. R&D is organized into focus groups that refl ect the production technologies of the Oerlikon customer base: semiconductors and nano-technology. For example, R&D is currently working on production

solutions for MEMs, LEDs, thermo-electrical generators, solar cells, thin fi lm magnetic heads (for hard drives), touch screens and numerous semiconductor devices.

With an interdisciplinary team of researchers, process engineers and technicians, the Oerlikon R&D team works closely with the product teams. For example, our new roof cathode was initially modeled by R&D, then designed and built by the engineering department and, fi nally, optimized for a particular fi lm deposition process by the R&D and applications engineering teams.

Industry&customerneedsdetermineR&Dpriorities

However, the collaborative culture at Oerlikon is often guided by the distinct manufacturing needs of our customers. These then determine the R&D priorities – just as the roof cathode project originally began as an inquiry from a client that manufactures hard drives.

“We respond to immediate and long-term needs from our customers,” adds Dr. Bernd Heinz, Senior Scientist R&D. “And this benefi ts everyone; the manufacturer profi ts from a positive improvement in production and Oerlikon benefi ts from continuous improvements in our production platforms and processes.”

Dr.RobMamazzaHead of R&D

WhatwedoasaTeamAgroupofexpertsinR&Dsolveproblemsandresearchfuturetechnologies

Innovationdependsonresearch&development.TheR&DteamatOerlikonSystemsinnovatesbysolvingconcretemanufacturingproblemsandalsoinvestigatingemergingtechnologiesandapplications.

Why PZT for MEMS? To put it simply – there is no alternative. The primary performance indicator for piezoelectric materials is the ability to couple the mechanical to the electrical domain. PZT does this very well. Further, and arguably more importantly, PZT can be manufactured on a large scale and in a reproducible manner. Alternative materials exist but are often complex materials systems with no current commercially viable manufacturing process. So, PZT currently stands as the incumbent. Nonetheless, we continue to keep our eye open for emerging alternatives.

The main challenges for the large scale production of PZT for MEMS are the demands to push the material’s performance and, from the manufacturer’s perspective, achieve higher deposition rates and low non-uniformities for constantly increasing substrate sizes, currently up to 200mm.

LARGESCALE DEPOSITION OF PZT FILMS FOR MEMS

ByDr.RobertMamazzaHead of R&D Ourapproach

The R&D team at Oerlikon Systems and the balance of the piezoVolume consortia (an EU project of which we are a member) worked together on the deposition of high quality PZT fi lms on to 8” silicon substrates. The main production parameters that needed to be met included: Throughput >3.6 wafers / hour·μm Non-uniformity of less than ±5%

A CLUSTERLINE 200 II platform is confi gured for PZT deposition with a soft etch module, two standard DC process modules for Ti/TiO2 and Pt, and fi nally, an RF module for the PZT deposition (additional PZT modules can be added to enhance throughput). The gas management capabilities and shielding are also adapted. The inherent process advantages of the CLUSTERLINE cluster tool include:

Overthepastyears,numerouseffortstoconvertmechanicalstrainintoelectricitybyusingpiezoelectricthinfilmshasfocusedondepositingleadzirconatetitanate(PZT)filmsforlargescaleproductionforMEMs.HereisanupdateonPZTdeposition.

Rd

Chip2011|Research&Development|42/43

Availability of an RF source Ability to apply bias to the chuck Chuck temperatures in excess

of 650° C Soft etch cleaning, electrode and

seed layer deposition in same tool

TheprocessOnce the substrate is cleaned, it is processed without a vacuum break. Deposition of the bottom electrode stack (covered by a thin TiO2 seed layer) is followed immediately by the PZT layer. Substrate temperatures between 550°C – 700°C using Pb and oxygen rich single ceramic PZT targets and RF magnetron sputtering enabled in-situ growth of the targeted perovskite PZT. Balancing pressure, chuck bias, and sputter power with an identifi ed temperature sweet spot of approximately 600°C yielded (111) textured fi lms with a Zr/(Zr+Ti) ratio along the lines of the morphotropic phase boundary and a stoichiometric lead content. All PZT fi lms exhibit mixed (110), (111) and (200) crystallographic orientations, whose relative intensity is infl uenced by the substrate temperatures. The highest piezoelectric coeffi cients d33,f = 120 pm/V and e31,f = -12.6 C/m2 were obtained for the fi lms deposited at substrate temperatures of ~ 600°C.

TheresultsThis new PZT process is an ultra-clean and reliable process for the production of MEMS devices – and a big step forward when compared to the previous “solgel” process. Solgel is a wet chemistry based process that is slow, has diffi culties where thicker fi lms are needed, and generates undesirable amounts of toxic waste.

The high substrate temperatures of the new PZT process allow the direct growth of the piezoelectric perovskite phase; this renders the additional post annealing step unnecessary (a two-step deposition/anneal process was the former Oerlikon process of record). While this process remains a work in progress, we have met the PZT material property requirements set by the EU project. Nonetheless, work continues, as Oerlikon customer requirements tend to be even more stringent than the EU project specifi cations.

Oerlikon has a growing installed base of CLUSTERLINE machines in the fi eld for varied PZT applications. New customers are keenly interested in our PZT deposition solution and are optimistic about the results. One client recently stated: “This is good news. The process automation and deposition rate are key for us.”

Tio2 (2 nm)

Platinum (110 nm)

TiO2 (15 nm)

Ti (2 nm)

PZT

PT

SiO2

Substrate

Figure1:TypicalconfigurationofaCLUSTERLINEforPZTprocessing.

Figure2:ViewofthehightemperaturechuckusedinPZTdepositions.

Figure3:SEMofaPZTfilm(top)grownonaSi/SiO2/Ti/TiO2

Pt/TiO2substrate.

Figure4:RenderingofatypicalPZTteststructure.

1

2

3

4

PZT

Pt Ti

SoftEtch

Recently,anewkindofbatteryhasemergedfromthelaboratoryandisnowinlimitedvolumeproduction.Thesethinfilmlithiumbatteriesarefabricatedprimarilyfromthinfilmcomponentsandofferseveraladvantagesoverlithiumiontechnology.TheLLSEVOsystemoffersanidealsolutionforthinfilmlithiumbatteryproduction.

Thin fi lm lithium batteries safely incorporate lithium anodes, which raises the energy density. They are all solid state devices; there are no toxic or fl ammable organic liquids to leak out in the event of a containment rupture and they have much higher charge/ discharge cycle lives (up to 100,000 cycles have been reported under laboratory conditions). The batteries are touted as the preferred energy storage solution for applications as diverse as smart cards, high-end RFID tags, autonomous sensors, medical implants and a number of system-in-a-package devices that often contain MEMS.

A schematic of a typical thin fi lm lithium battery structure is shown in Figure 1. The cathode is a thin fi lm of lithium cobalt oxide (LiCoO2) 5-10 microns thick, deposited by pulsed DC magnetron reactive sputtering. The electrolyte is a thin fi lm of lithium phosphorus oxynitride (LiPON) deposited by RF magnetron sputtering of a lithium orthophosphate (Li3PO4) target in a nitrogen-containing ambient, and the anode is evaporated lithium. The metallic current collectors are conveniently deposited by standard DC magnetron sputtering while encapsulation is usually performed by CVD or other non-PVD methods. The most common substrates are glass, mica or metal foils.

uppingvolumeandyieldUntil quite recently, most suppliers of thin fi lm lithium batteries were small start-up companies with limited R&D and capital equipment budgets. However, as the technology matures and its advantages become more apparent, large multinational corporations are starting to take a much greater interest and invest in it. Home-built or rudimentary sputtering tools from small specialized vendors are being replaced by more sophisticated, production-proven machines from leading semiconductor equipment manufacturers in order to produce thin fi lm lithium batteries in higher volumes and yields on new and improved production facilities. In addition, more of the leading materials companies are beginning to offer high quality sputtering targets that are critical to the commercial success of this technology (see Figure 2).

The requirements for manufacturing thin fi lm lithium batteries cost-effectively are substantially different from those for making integrated circuits. They do not need such strict particulate control as typical state-of-the-art CMOS devices, have larger die sizes, sell for less and are patterned through precisely aligned shadow masks rather than lithographically. The large square or rectangular die fi t more effi ciently onto square or rectangular substrates.

��������������������������������������

Dr.OliverRattunde, Senior Scientist R&D

Dr.GlynReynolds, Principal Scientist R&D

Rd

Chip2011|Research&Development|44/45

Figure1:Schematicofthinfilmlithiumbatterystructure.

Figure2:LiCoO2targetforanOerlikonLLSEVOIISystem(PicturecourtesyofUmicoreThinFilmProductsAG,Liechtenstein).

Figure3:TheLLSEVOIIsystemfromOerlikonSystems.

Figure4:SchematicofLLSconfiguredtodepositallfivesputteredlayersforthinfilmlithiumbatteries(R&Dconfiguration).

1. Adhesion layer2. Cathodic current collector3. LiCoO24. Anodic current collector5. Li3PO4

1

2

3

4

5

Cathodiccurrentcollector

LiCoO2cathode

LiPON electrolyte Lithium anode Encapsulant

Anodiccurrentcollector

AdhesionlayerSubstrate

AnidealproductionsolutionA thin fi lm lithium battery production line will use the same basic materials and deposition is in the same sequence. There is no benefi t from the random access capability provided by most cluster tools. It therefore requires a relatively inexpensive, highly reliable, production-proven tool capable of both RF and pulsed DC sputtering with the fl exibility to accommodate square or rectangular substrates.

The Oerlikon LLS EVO II, a workhorse of the Advanced Packaging, MEMS, Compound Semiconductor, TFH and LED industries, fi ts this description perfectly. It is the ideal solution for a thin fi lm lithium battery manufacturer looking to transition from making devices on an R&D platform or in low volume manufacturing to a medium volume production line.

A single LLS tool can accommodate up to 576 1-inch square batteries in a single load – as demand and orders increase, additional LLS tools can be added incrementally to match capacity and throughput needs. Due to its versatility, a fi ve target LLS can even be confi gured with different cathode materials for customers wishing to perform R&D on a production-worthy tool – a possible confi guration is shown in Figure 4. Once the unit processes are optimized, the same LLS can be reconfi gured for subsequent manufacturing.

3.

1.

2.

4.

ROOF CATHODE: AuNIQuESPuTTERDEPOSITIONSOLuTION

Figure1:The'roof'ontopofaCLUSTERLINE200stationconsistsoftworectangularLLScathodestoenablelinearobliqueincidencedeposition.

Figure2:Depositionsimulationwitharoofcathode:Normalizedfilmthicknessonradiuslinesofa8"substrate,0°isparalleltothecathodeinclinationandthecollimatorblades,90°iscrossedtotheblades.

Figure3:ExperimentalresultsforthethicknessuniformityofNiFe(79/21)filmsdepositedwiththeroofcathode.

Rd

Chip2011|Research&Development|46/47

Manyapplicationsdemandlinearpropertiesinfilmsystems,butstate-of-the-artcoatingequipmentpreferssinglewaferprocessingbasedonacirculargeometryforfilmdeposition.OerlikonSystemsdevelopedadesignthatenablesthegrowthofthinfilmswithalinearlyorderedmicrostructure–bystaticsputteringinaroundstation.

KaiWenz, Process Engineer

HartmutRohrmann, Principal Scientist

Favored material properties with a linear orientation can include, for example, mechanical stress, optical bi-refrigerance or a preferred direction of magnetization. All these effects rely on an oriented microstructure, mostly with parallel aligned axes of crystallites, or sometimes only on aligned axes of atom pairs in so-called 'amorphous' materials.

How to get an ordering force during thin fi lm growth? For many magnetic materials the application of a linear magnetic fi eld during fi lm deposition does the job. But some magnetic alloys (e.g. FeCo) show nearly zero response in atomic order to applied fi elds. And non-magnetic materials are indifferent. It is possible to introduce order into the active fi lm with a well-ordered seed layer. But this shifts the basic problem of aligning a microstructure to the seed layer.

The elegant solution for ordered fi lm growth is an oblique incidence of the deposited material. This ordering force is well known: sand or water show a visible surface pattern depending on the direction of the wind.

ProventechnologymovestoanewhomeOerlikon already uses this aligning effect for microstructures on the LLS system, where substrates on a rotating cylindrical cage are inclined in the direction of deposition. This results in an atomic order parallel to the angle of inclination, and magnetic fi lms show a well-oriented axis. The ordering effect can be enhanced with a collimator of parallel blades between the sputter target and substrate. This linear collimator reduces the 'cross winds' of sputter material not in the plane of the inclination angles. It is even possible to control the amount of atomic ordering through the aspect ratio of the collimator (= blade height to blade distance), which directly infl uences magnetic anisotropy energy and the anisotropy fi eld Hk.

Further enhancement in the deposition of magnetic fi lms was achieved with the 'split target cathode' (see “Split Target Design” in CHIP Silver edition, p. 30). This 'Long Life Cathode' design increases target life of strongly magnetic materials by more than 15x when compared to standard cathodes.

Thenew“roofcathode”Seeing the positive aspects of a proven dynamic sputtering process, the Oerlikon R&D team developed the “roof cathode” to transfer the static conditions of the CLUSTERLINE 200. This new cathode features two linear Long Life Cathodes inclined and offset from the substrate, positioned like a saddle roof on top of the process station (seeFigure1).

Computer simulation of the deposition process gave optimized values for cathode position and angle and showed an ability to achieve fi lm thickness uniformities better than 5% (range over mean) by using a simple linear collimator of rectangular blades with constant spacing (Figure2).

The collimator has a double function: It enhances the structural order in the growing fi lms by shadowing unwanted deposition directions and contributes to good fi lm thickness uniformity. Appropriate to the sputter material, the fi lm thickness uniformity can be further improved by modulating the thickness of the collimator blades (Figure3). Another positive side effect of the roof cathode is a reduced tendency to performance shifts during the target life, compared to magnetic fi lm sputtering with standard circular cathodes.

By bringing linear fi lm properties in a circular environment on to a circular substrate, the roof cathode delivers a remarkable improvement in sputter performance for the 'quadrature of the circle' in deposition technology.

AdvancedNanotechnology

SOLARIS:Bright Solution

for PV

Highly Functional:ITO Layerson SOLAR

BrightSolutionforPVSOLARISsystemenablesproductionofhigh-efficiencysolarcells

MarkusFrei, Product ManagerMarkusFreiProduct Manager

GeraldFeistritzer, Senior Process EngineerGeraldFeistritzerSenior Process Engineer

Chip2011|AdvancedNanotechnology|50/51

“Nomen est omen,” the SOLARIS has become a bright player in the PV industry since the official launch in 2009. This success has enabled the Oerlikon Group – already an established supplier for thin film solar cell production through its Oerlikon Solar division – to now grab market share for crystalline Si solar cells.

Recently, SOLARIS was qualified for mass production of SiN:H anti-reflective (AR) layers for mono-crystalline solar cells. This is a key milestone because AR layers are mainly coated with PECVD processes. The next step is the successful qualification of AR layers for mc-Si solar cells. Currently, an intensive evaluation is going on with industrial and scientific partners to surpass competing technologies in solar cell performance and production cost efficiency.

LotsofPVproductionoptionsFront-side AR coatings are only one application where SOLARIS shines. Thanks to its flexible layout, a manufacturer can run numerous applications with this system. For example, the simple carrier design enables handling of different substrate sizes, geometries and materials with only minimal modifications. Not only can the front side of a crystalline solar cell be coated, both backside passivation and metallization layers are now options as well.

This backside metallization is one of the “hot topics” in the industry due to the high cost of consumables for screen-printing and the time consuming maintenance of the corresponding production equipment, especially for high efficiency solar cells. Various layer stacks consisting of materials like Al, Ti, TiN, NiV, Ag can easily be designed with SOLARIS, as solar companies benefit from Oerlikon Systems’ semiconductor expertise (where backside contacts are already well established).

A further application is deposition of transparent conductive oxides (TCO). “The manufacturer can do not only backside coating, but also deposit TCO layers for hetero-junction photovoltaic cells,” explains Volker Wuestenhagen, Head of Advanced Nanotechnology at Oerlikon. “We’re working on a number of such projects right now.”

More details on TCO development and its various applications are described in “Highly Functional and invisible” (see next page).

Cost-efficientandcleantechnologySOLARIS is similar in design to high throughput production systems used in the optical disc industry to manufacture DVD and Blu-ray discs. This level of throughput efficiency enables the solar cell manufacturer to implement clean technology more cost-efficiently and guarantee more reliable production processes than with conventional batch processing platforms. Single wafer processing leads to unmatchable process stability from wafer to wafer and guarantees solar cells with consistent color, eliminating post-production sorting.

In addition, the silane-free process used for AR layers on the SOLARIS is not only environmentally friendlier, but also uses less energy.

GettingtogridparityThe ability to manufacture increasingly higher quality – and higher efficiency – solar cells is an important factor in the race to shrink the cost of solar cell production. As an inline production system, SOLARIS provides features that help manufacturers noticeably increase quality and efficiency and keep costs under control:

• High throughput: up to 1,200 substrates per hour (dry cycle time <3.0 sec)

• Small system footprint – 3.3 x 2.0 m• Multi-layer capability – each chamber

can run different processes and deposit different materials

• Simplified carrier – for quick substrate change

• Integrated substrate loading / unloading

“Think about it; this is a high-speed single substrate sputtering system that can push through up to 1,200 substrates per hour,” summarizes Volker Wuestenhagen. “It’s really all about helping to decrease overall production costs.”

Thankstoaflexible,high-throughputsystemlayout,theSOLARISplatformprovidesthecrystallinesolarcellmanufacturerwitharangeofprocessoptionstocontrolproductioncosts–andmanufacturehighqualityhigh-efficiencysolarcells.

SOLARIS-Keyfeatures

•Quicksubstratechange:simplifiedwithacarriersystem

•Integratedsubstrateloading/unloading

•Smallsystemfootprint:

3.3x2.0mandlowoperatingcosts

•Flexibleconfigurationsallowed;eachprocesschamberseparatedfromtheothers

•Multi-layercapability:eachchambercanrundifferentprocessesanddepositdifferentmaterials

•Multi-sourcesputtering:alloydevelopmentwithupto4differentmaterials

•Highthroughput:

upto1,200substrates/hour(drycycletime<3.0sec)

•Substraterotationduringsputteringensures

+2.5%layeruniformity

•Substratediameter

upto225mm•RapidThermalProcessing

withtemperatures

upto550°C•Surfacecleaningandactivation

byetching

•Easyintegrationintoautomatedinlineproductionlines

HIGHLyFuNCTIONAL…AND INVISIBLE

OerlikonSystemsprovidessolutionsforbothopticalandelectricallyfunctionallayers:SOLARISisaflexibleplatformformassproductionofhighefficiencysolarcells,OLEDandtouchpanels.Thetoolisalsoperfectforprocessanddevicedevelopment.

Emerging energy conversion and energy management applications demand innovative solutions. Thin fi lm coatings are often the technology of choice because they can combine transparency with very good electrical conductivity. SOLARIS is highly effective at sputtering transparent conductive oxide layers (TCO) such as indium tin oxide (ITO) or aluminium zinc oxide (AZO), making it the ideal production platform for heterojunction (HJT) solar cells, touch panels and organic LED (OLED).

HighefficiencysolarcellsThe HJT solar cell is based on a crystalline silicon wafer coated with intrinsic and doped amorphous silicon layers. The peak power generated by this solar cell is defi ned by the light conversion effi ciency of the cell and the ability to get the generated charge carriers out of the cell. A conductive layer is used to collect the generated charge carriers. Metal layers are good for conductivity but hamper the transmission of light into the cell, reducing light conversion effi ciency. This is why transparent conductive layers are required.

TouchpanelandOLEDBoth touch panels and OLED devices use functional layers similar to solar cells;

they form electrical contacts and are transparent for emitting light. In addition to transmission and conductivity, these TCO layers have further application-specifi c parameters (for OLED or organic solar cells), such as a very smooth interface to ensure functionality of the thin organic layers on the transparent contacts.

The fl exibility of the SOLARIS platform enables installation of pre and post-heating stations for high quality TCO layers. The easy operation, low maintenance times and fl exible confi guration make this tool ideal for both TCO development and mass production.

ResultsSOLARIS uses reactive sputtering for ITO layers, which allows process fi ne-tuning. For example, instead of an annealing step in an external oven, the user can run several variations of process sequences with heating steps before and after the ITO deposition without breaking the vacuum.

For OLED or touch panel applications, a low roughness of the fi lms along with very good optical and electrical properties are required. High transmittance values of >80% are achieved for sputtered ITO layers on SOLARIS (seeFigure1). These

excellent optical properties are combined with outstanding electrical values. The specifi c resistivity of the ITO layer drops to 2.7 E-04 Ohm cm after annealing at 250°C across the whole coated area (seeFigure2); fi lm thickness shows excellent uniformity of ±2.0%.

A very smooth substrate is needed to analyze surface roughness of the ITO layers; AFM measurements were performed on Si substrates. For the whole analyzed area the average roughness (Ra) was below 1nm and the sputtered ITO layers do not have any peaks (see Figure 4). A surface scan shows that the maximum distance between top and bottom locations is <10.5nm.

In summary, these samples demonstrate how SOLARIS can produce ITO layers with excellent optical and electrical properties and outstanding surface smoothness – ideal for applications where TCO layers are required.

SOLARIS enables production of ITO layers for a variety of applications

Dr. VolkerWüstenhagen,Head of Advanced Nanotechnology

AdFigure 1 Figure 2

Figure 3 Figure 4

Chip2011|AdvancedNanotechnology|52/53

Figure1:Transmittance for an ITO layer (120nm thick) on a glass substrate compared to a blank substrate (as reference). The glass/ITO stack achieves a high transmittance of >80% for wavelengths of 400-800nm.

Figure 2: The specifi c resistivity decreases after the annealing step to 2.7*10-4 Ohm cm with an outstanding uniformity of ±2% across the wafer diameter.

Figure3:Film thickness across the wafer diameter as deposited. Sputtered ITO layers show a stable fi lm with excellent thickness uniformity of below ±2.5% over a diameter of 140mm before and after annealing at 250°C.

Figure4:AFM roughness analysis of an ITO layer (120nm thick) on a Si substrate; the layer shows a low roughness with Ra < 1nm. The surface is free of peaks with maximum distance between top and bottom locations of <10.5nm.

“OurtestsdemonstratethatSOLARIScanproduceITOlayerswithexcellentopticalandelectricalpropertiesandoutstandingsurfacesmoothness–idealforapplicationswhereTCOlayersarerequired.”

Sales&Service

Sales&Service

Service & Partnership:

“We Don’t Work Alone”

Fraunhofer ASSID: TSV

Your Sales & Support Contact

WeDon’tWorkAlone

Overthepasttwoyears,OerlikonSystemshassparednoefforttooptimizetestingandmaintenanceprocedures,streamliningandimprovingserviceresponsemeasuresandtrainingsupportteamsinallaspectsrelatingtocustomercare.Today,Oerlikonengineersandsupportteamshave,onaverage,10yearsofexperience–andanongoingadvancedtrainingprogramkeepseveryoneup-to-date.

Currently in the “execution phase”, the Oerlikon service teams around the world have a better understanding of their roles and responsibilities – and the needs of the manufacturers, thanks to our improved service and support procedures.

Performance enhancements in the fi eld have been tangible; the time needed to install, achieve sign off and have each Oerlikon system fully ramped up for production has been slashed – down over the last years by a factor of two.

PlanningiscrucialHow was such a jump in performance possible? For the Oerlikon support teams, every minute of planning can save hours in the fi eld.

Based on the client briefi ng, every member of a service team is made thoroughly familiar with all the necessary testing / acceptance parameters. Each stage is evaluated for any potential risks or misalignment. Internal acceptance tests (IAT) are carried out together with the customer and the lead installation engineer at the factory. This planning process is strictly followed and forms the foundation of a successful start-up at the customer site.

“Attention to detail is key for every Oerlikon employee,” explains Oliver Massfelder, European Service Manager. “The bottom line for our teams is – no surprises!”

WhereandwhenyouneeditIn addition to getting systems online quickly and a comprehensive planning schedule, an effective and reliable logistics network can be equally important for the manufacturer that has just taken delivery of a new production system.

Oerlikon recently expanded their global logistic network in Asia by opening up a major logistics base (at the Schenkers mega hub) in Singapore, providing improved access to manufacturers across the Asia region. This new Asian center completes the SAP network that connects all now 3 major hubs:

• Singapore – for Asian customers• Germany – for Europe, Middle East & Africa• USA – for North & South America

Howpartnershipandtrusthaveacceleratedservicequalityandenhancedperformance

ColmConnell,Head of Global Customer Support

“Backingupoursalesandserviceteamswithagloballogisticscapabilityassuresthemanufacturerthatwecansupporttheirproduction–atanytimeandanywhereintheworld.”

OrianoNicoletti,GlobalSparesManager

Teamwork&trustWhile detail in planning is important, having the trust and cooperation of the customer makes all the difference. Because of the close relationship Oerlikon cultivates with every customer, our improved procedures and planning produces consistent – and predictable – results.

As further confi rmation of the value of teamwork and planning, Oerlikon won a “10 Best” award for 2011 in the annual VLSI survey, receiving the highest scores for “After Sales Support” among all chip making equipment vendors (see page 10 in this issue).

“Congratulations to Oerlikon Systems for a well-deserved award,” lauds Risto Puhakka, President of VLSI Research.

ConstantproductimprovementUp-to-date training and in-depth preparation of the service teams are a crucial part of the story, but not the whole story. Supporting the on-site service teams around the world are the business unit experts; working with product quality groups, these experts evaluate all feedback from the fi eld to identify areas for improvement. The feedback includes key data from installation, warranty and post warranty. This “quality assurance loop” helps ensure that issues, once they are identifi ed, never occur again.

This improvement process is defi ned by ISO 9001 standards and provides the type of information that enables constant improvement in the design and function of our production systems. Indeed, many key Oerlikon innovations made over recent years can be traced back directly to this non-stop feedback loop.

StayingintouchIn summary, we strive to work closely with every customer; losing even a single manufacturer because of an issue of system performance and service is si mply not an option for Oerlikon. Our after-sales offer is an important differentiator in today’s markets, which is why we cultivate close relationships with each customer and work together to fi nd the best solutions. It’s the only way to stay ahead in this business.

Spare Parts: a Global Affair Oerlikon’s global logistic network - with a North American hub in California and the European hub in Germany - was completed with the opening of the Asia hub in Singapore, for manufacturers across the Asia region.

Chip2011|Sales&Service|56/57

3D system integration is one of the most important strategic technologies in microelectronic packaging and system integration worldwide. It allows multiple electronic devices such as sensors, processors, memories and transceivers to be integrated heterogeneously into one wafer level system-in-package (WL-SiP). The heterogeneous wafer level integration approach has specific advantages in terms of electrical performance, form factor and manufacturing cost.

Through silicon vias (TSVs) are a key element in 3D wafer-level system integration. Fraunhofer IZM-ASSID develops copper TSV processes specifically for customized applications. All processes are carried out using latest state-of-the-art industrial equipment for 300mm wafers. Fraunhofer IZM has

developed a high density TSV interposer for high performance electronic systems with more than 10,000 Cu-TSVs per cm² and a 4-layer copper multilayer front side and copper pillar on the back side.

Our main technologies include:

• 3D wafer-level system integration (300mm)

• TSV technology and silicon interposer technology with high-density wiring

• Wafer thinning and handling technology• Temporary wafer bonding and

debonding technology• Wafer bumping technology• Die-to-wafer and wafer-to-wafer

bonding• Wafer-level assembly and 3D stacking

In TSV technology, we focus on high-density TSV technology for advanced system performance (TSV diameter: 2 – 20 μm; aspect ratio: 5 to 30), TSV post-frontend and post-backend integration processes, Cu-TSV filling using high-speed ECD, optimized and qualified TSV post-processes for frontside and backside, evaluation and validation of new materials for TSV filling and isolation, and qualified high-yield TSV formation processes.

WorkingwithOerlikonSystemsTogether with Oerlikon, we focus primarily on the deposition of barrier and seed layers in TSVs (with a high aspect ratio of >5). We use Oerlikon’s advanced high power impulse magnetron sputtering (HIS), the preferred solution because of the tangible cost efficiency and high level productivity combined with high quality deposition.

Fraunhofer ASSID:PartnerforTSVSolutionsFraunhoferASSIDdevelopstechnologiesforthe3DsystemintegrationofsemiconductordeviceswithsputteringsystemsfromOerlikonSystems.

ByJuergenWolf,HeadofDept.HDI&WLP/FraunhoferIZM-ASSID

Sa

Chip2011|Sales&Service|58/59

Fraunhofer and Oerlikon joint research activities will result in new high quality barrier systems specifi ed for Cu-TSVs. Fraunhofer will evaluate and qualify these new barrier layers with respect to their reliability using advanced thermo-mechanical simulation and material analysis.

LookingtoAsiaSimilar to Oerlikon, Fraunhofer works closely with partners in Asia. Our Asia partners include institutes and universities such as KAIST (South-Korea), ITRI (Taiwan), IME (Singapore) and AIST (Japan). Also, large packaging houses in Asia have a high interest in using Fraunhofer’s advanced wafer level packaging technologies and TSV interposer. Currently, we are running a number of joint research development and cooperation projects.

Thebigissues–todayandtomorrowToday, the main issues for the integration of TSV processes are, of course, reliability – especially when using Cu as interconnect and fi lling material, where quality and barrier characteristics are very important. Cost-effi ciency is another issue. Huge steps were recently made with Cu-ECD fi lling of TSV as a follow up step. This ECD-process can achieve a process time reduction of >5, resulting in cost reductions.

In the next 2 to 3 years, integration of Cu-TSV will be a hot topic for 3D integration. This raises the importance of new technologies using new materials, reliability assessment and the availability of qualifi ed high throughput processes, evident in the growing relevance and usage of acronyms such as DfR (Design for reliability), DfM (Design for manufacturability) and DfT.

Today,themainissuesfortheintegrationofTSVprocessesare,ofcourse,reliability–especiallywhenusingCuasinterconnectandfillingmaterial,wherequalityandbarriercharacteristicsareveryimportant.

An interposer device

The Fraunhofer ASSID headquarters in Dresden, Germany

yOuRSALES&SuPPORTCONTACTSOERLIKONSySTEMSAROuNDTHEWORLD

www.oerlikon.com/systems

Balzers

LIECHTENSTEINHeadquartersOC Oerlikon Balzers Ltd.Iramali 18P.O. Box 10009496 BalzersLiechtensteinT: +423 388 [email protected]: [email protected]: [email protected]

St. Petersburg

AMERICASCentral HubOerlikon USA Inc10050 16th Street NorthSt. Petersburg FL 33716United StatesT: +1 727 828 0850S: [email protected]: [email protected]

Aschheim-Dornach

GERMANYEMEA HubOerlikon Deutschland Vertriebs GmbHKarl-Hammerschmidt-Strasse 3485609 Aschheim-DornachGermanyT: +49 89 75 505 100S: [email protected]: [email protected]

Sa

Chip2011|Sales&Service|60/61

Tokyo

JAPANHakuto Co., Ltd.1-13. Shinjuku 1-Chome Shinjuku-kuTokyo 160-8910 S: +81-3-3225-8992CS: +81-3-3225-8992S: [email protected]

Jhubei City

TAIWAN (ROC)Central HubDKSH Taiwan Ltd.13F-1, No.100, Sec. 1, Jiafong 11th Rd.Jhubei City, Hsinchu County 302Taiwan (R.O.C.)T: +886 3 657 8788 S: [email protected]: [email protected]

Penang

MALAYSIA *ZMC Technologies (Malaysia) Sdn BhdNo. 1-3-23 Krystal Point 2 Lebuh Bukit Kecil 6Penang 11900MalaysiaT: +60 4 646 4586S: [email protected]: [email protected]: [email protected]

Singapore

SINGAPORE *ZMC Technologies (Singapore) Pte Ltd10 Ubi Crescent #05-92/93/94/95Lobby E, Ubi TechparkSingapore 408564T: +65 6285 1161S: [email protected]: [email protected]: [email protected]

Kyeonggi-do

SOUTH KOREAWoowon Technology Co., Ltd1903 Parkview Offi ce Tower6 Jeongja-dong, Bundang-gu Seongnam-si, Kyeonggi-do 463-863 South KoreaT: +82 31 783 4770S: [email protected]: [email protected]

Shanghai

CHINADKSH China Ltd.1801 Hongmei RoadInnov Tower / Block A Unit 2208ShanghaiChinaT: +86 21 5383 8811S: [email protected]