Chemical-Mechanical Machining Process … · Art, Science & Technology Center, Kyushu University,...

17
Chemical-Mechanical Machining Process Toshiro Doi* Art, Science & Technology Center, Kyushu University, Fukuoka, Japan Abstract Electronics, optics, mechanics, and opto-mechatronics, which is the combination of the rst three technologies, have been developed during this half century and created high-performance and multifunctional devices/systems through research, development, and application of various func- tional materials such as semiconductors, dielectric materials, magnetic materials, ceramics, poly- mers, and glasses. To utilize the unique characteristics of each functional material, which is sometimes used as an active layer and sometimes as a substrate for high-quality epitaxy, it is necessary to machine the material into a desired shape and dimension with high precision, quality, and efciency. In addition, as seen in the case of a magnetic head slider of a hard disk drive, the high functional parts are required strictly to maintain their relationships and functions for either contact or noncontact congurations. It is often the case that the fabrication of high-performance parts requires a design especially considering the interface of moving parts and their ambient. Among the machining processes of functional materials, the ultra-high precision polishing, which is the most important nishing step, directly determines the performance of devices. This chapter reviews the recent trend of LSI devices from the viewpoint of machining process followed by the outline of chemical-mechanical polishing (CMP) and chemical-mechanical machin- ing process (CMmP). It also presents the contribution of CMP technology in device processes including a personal opinion of the eld. Introduction Processing Mechanism of Chemical Compound Polishing/CMP and Their History of Development Machining Mechanism and Characteristics The three categories of machining with slurry, lapping,(mechanical) polishing,and ultraprecision polishingin a simplied model are mapped as Fig. 1 by taking a removal unit which corresponds to the effective depth of slurry/size of debris for the horizontal axis. When the work is made of crustaceous material such as silicon and glass, lapping proceeds with a mechanical destruction through generation of cracks and debris caused by hard abrasives, whereas polishing attains a mirror surface through an integration of micro scratches with minimum mechanical effect. The ultraprecision polishing at nanoscale includes rened methods of the conventional mechanical polishing such as optical or metallographical polishing and a new approach using a chemical method, a physical method, or a combination of both (Doi et al. 2011). *Email: [email protected] Handbook of Manufacturing Engineering and Technology DOI 10.1007/978-1-4471-4976-7_77-2 # Springer-Verlag London 2013 Page 1 of 17

Transcript of Chemical-Mechanical Machining Process … · Art, Science & Technology Center, Kyushu University,...

Page 1: Chemical-Mechanical Machining Process … · Art, Science & Technology Center, Kyushu University, Fukuoka, Japan Abstract Electronics, optics, mechanics, and opto-mechatronics, which

Chemical-Mechanical Machining Process

Toshiro Doi*Art, Science & Technology Center, Kyushu University, Fukuoka, Japan

Abstract

Electronics, optics, mechanics, and opto-mechatronics, which is the combination of the first threetechnologies, have been developed during this half century and created high-performance andmultifunctional devices/systems through research, development, and application of various func-tional materials such as semiconductors, dielectric materials, magnetic materials, ceramics, poly-mers, and glasses. To utilize the unique characteristics of each functional material, which issometimes used as an active layer and sometimes as a substrate for high-quality epitaxy, it isnecessary to machine the material into a desired shape and dimension with high precision, quality,and efficiency. In addition, as seen in the case of a magnetic head slider of a hard disk drive, the highfunctional parts are required strictly to maintain their relationships and functions for either contact ornoncontact configurations. It is often the case that the fabrication of high-performance parts requiresa design especially considering the interface of moving parts and their ambient. Among themachining processes of functional materials, the ultra-high precision polishing, which is the mostimportant finishing step, directly determines the performance of devices.

This chapter reviews the recent trend of LSI devices from the viewpoint of machining processfollowed by the outline of chemical-mechanical polishing (CMP) and chemical-mechanical machin-ing process (CMmP). It also presents the contribution of CMP technology in device processesincluding a personal opinion of the field.

Introduction

Processing Mechanism of Chemical Compound Polishing/CMP and TheirHistory of DevelopmentMachining Mechanism and CharacteristicsThe three categories of machining with slurry, “lapping,” “(mechanical) polishing,” and“ultraprecision polishing” in a simplified model are mapped as Fig. 1 by taking a removal unitwhich corresponds to the effective depth of slurry/size of debris for the horizontal axis. When thework is made of crustaceous material such as silicon and glass, lapping proceeds with a mechanicaldestruction through generation of cracks and debris caused by hard abrasives, whereas polishingattains a mirror surface through an integration of micro scratches with minimum mechanical effect.The ultraprecision polishing at nanoscale includes refined methods of the conventional mechanicalpolishing such as optical or metallographical polishing and a new approach using a chemicalmethod, a physical method, or a combination of both (Doi et al. 2011).

*Email: [email protected]

Handbook of Manufacturing Engineering and TechnologyDOI 10.1007/978-1-4471-4976-7_77-2# Springer-Verlag London 2013

Page 1 of 17

Page 2: Chemical-Mechanical Machining Process … · Art, Science & Technology Center, Kyushu University, Fukuoka, Japan Abstract Electronics, optics, mechanics, and opto-mechatronics, which

Here the CMP as chemically compound polishing is explained. The CMP aims to improve thequality of the polishing surface typically by utilizing slurry distributed ultrafine abrasives and a softpad. It is conducted in a clean room with high-purity de-ionized water to avoid particles andcontaminations. The CMP is characterized with high efficiency and extremely low mechanicalstrain. The factors of machining mechanism are summarized as follows:

(a) Soft or crustaceous reaction product on the work surface created by a chemical solution in theslurry is easily removed through mechanical effect of the slurry.

(b) The rubbing interfaces on the work surface where fine abrasives are applied become activated topromote reaction with the chemical solution, and the reaction product is easily dissolved.

(c) Temperature increase created by rubbing of the abrasives and a pad enhance a chemical reaction.(d) Formation of strained layer through micro scratches with abrasives supports a chemical process.

Furthermore, if observed microscopically,(e) The adhesive effect between the abrasives in the slurry and atoms on the work surface sometimes

accelerates machining.

Figure 2 shows a model of microscopic mechanism for CMP of Si crystal with silica (SiO2)particles. Si atoms on the silica surface and Si atoms on the crystalline surface form Si-O-Si(siloxane) bonds via oxygen atoms, resulting in weaker bonds of Si atoms inside the crystal,which allows removal of the surface atoms through breakage of bonds by relative movement ofpressed silica particles. This is the microscopic mechanism of machining which can also be appliedto polishing of glasses (Cook 1990). The situation and the environment of the process greatly dependon many factors such as slurry composition, relationship of zeta potential between the abrasives andthe work surface, type and condition of pads, and mechanical conditions.

Development History of CMP TechnologyMechanical polishing as represented by optical or metallographical polishing was already applied inthe era of Isaac Newton (around seventeenth century) to fabricate lenses and mirrors for telescopes.

CMPMCP

Colloidal SilicaPolishing

(Chemical Mechanical Polishing)10

10

(mm)

(mm)

Works (brittle)

Damaged layer

Abrasives1~30µm

Lap

Works

Abrasives1µm or less

Polisher/Pad

Dissolution Abrasives

Bowl-feed polishing

Float polishingEEM(Elastic Emission Machining)

Etching

Chemical polishingElectrolytic polishing

Atom

Molecule

Works

Lapping Polishing

Low speed grinding

Removal unit

Proc

esse

d su

rfac

e ro

ughn

ess (

Rz)

Ultra-precisionPolishing

CMP

(Mechano-Chemical Polishing)

1

1

10−1

10−1

10−2

10−2102103

(1 nm)

(1 nm)(1 mm)

(1 Å)

(1 Å)

10−3

10−3

10−4

10−4

Fig. 1 Superior position of ultra-precision polishing

Handbook of Manufacturing Engineering and TechnologyDOI 10.1007/978-1-4471-4976-7_77-2# Springer-Verlag London 2013

Page 2 of 17

Page 3: Chemical-Mechanical Machining Process … · Art, Science & Technology Center, Kyushu University, Fukuoka, Japan Abstract Electronics, optics, mechanics, and opto-mechatronics, which

When the mechanism of optical polishing was revealed, people started to recognize the importanceof mirror finishing with higher technology and precision, inventing many kinds of ultraprecisionpolishing methods. Polishing process has not fundamentally changed from the old-day method inwhich a work and a pad are rubbed against each other with addition of slurry. A significantimprovement in accuracy happened during the Renaissance, when people demanded higher mag-nification and better correction of astigmatism in telescopes and microscopes with several lenses.The optics as a scientific subject greatly advanced due to the improvement of polishing technology.

Nowadays unique polishing methods using various abrasives and/or solutions have been pro-posed. The understanding of the material nature of a work and various mechanical and chemicaleffects induced by combination of process components such as slurry and pads led to manyvariations of chemical-mechanical polishing. The chemical-mechanical polishing (CMP) and mech-anochemical polishing (MCP) are typical examples for such polishing methods (Doi et al. 2011).

In fabricating devices, except for strained layer transistors utilizing increased carrier mobility instrained Si, it is quite rare to use a damaged layer from machining as an active layer. Thus, it isrequired to finish the wafer having a non-disturbed surface, i.e., a surface free of a damaged layer.A typical example of this finishing process to attain a non-disturbed surface is CMP. In the case ofoptical polishing for glass lenses, high-quality mirror finishing with surface roughness of ~5 nm Rzhas been possible by using a pitch tool plate as a polishing pad and powder of Fe2O3 (red iron oxide)or ceria (cerium oxide). However, the application of this technique to Si LSI process did not attainthe same surface quality of planer technology in 1960s –micro scratches were observed after etchingeven if the surface looked mirror-like. This problem triggered the development of CMP asa chemical combined polishing. The CMP technology became the basis of polishing step for bareSi wafers and made a remarkable progress. Currently, the CMP for bare Si wafers generally usesalkali-based colloidal silica (high-purity colloidal silica produced by ion-exchange method orhydrolysis of alkoxysilane) which has a low size distribution and a high dispersion characteristics(Doi et al. 2011).

In the actual CMP process, basic or acidic solution, which causes a chemical reaction with thewafer surface, is used as slurry distributed abrasives. Wafers are pressed against a rotating pad (alsocalled as a polisher or polishing cloth) with the slurry dripped and spread on, and the top surface ofthe work is mechanically removed. Figure 3 shows fundamental equipment components to carry outthe CMP. Among these components, a pad and slurry determine the CMP characteristics and thus are

A sequence of CMP mechanism of Silicon

[ SiO2 abrasive ] Motion

Polishing pressure

[ Si crystal ]

O

OH

H2OH2O

OH

OH

Si

OH

H

Si Si

Si

OH

H

(1) A product of SiO2 abrasive in alkaline solution is SiO3 radical

When OH − ion contained in the slurry approaches to orcontact the silicon surface:

(2) SiO3 radical bonds to Si surface(3) Si atom is removed from matrix exposing fresh bulk Si(4) Cyclic process returns to Step (1) O

Si

O

Si

Si

OH

OH

OO

Si

O

Si

Si

OH

OH

Si

O

2 (≡Si−OH) ≡Si-O-Si≡ + H2O

Fig. 2 Polishing mechanism of Silicon from micro-viewpoint

Handbook of Manufacturing Engineering and TechnologyDOI 10.1007/978-1-4471-4976-7_77-2# Springer-Verlag London 2013

Page 3 of 17

Page 4: Chemical-Mechanical Machining Process … · Art, Science & Technology Center, Kyushu University, Fukuoka, Japan Abstract Electronics, optics, mechanics, and opto-mechatronics, which

extremely important. A CMP pad for device wafers is different in specification and structure fromthose for bare Si wafers because of different objectives (Doi 2001).

Matured CMP Technology for Si WafersThe industrial application of CMP as ultraprecision processing started in early 1970s, when the CMPwas used in Si semiconductor process. As the Si LSI fabrication demands higher-quality Si wafers,the CMP technology made a significant progress. There is no other example than Si wafers that aremass produced (monthly production of more than 100,000 slices of f300 mm wafers in one factory)with extremely precise finishing (Doi 2001).

There are two ways of Si CMP, both-side polishing like lapping and single-side polishing. Thispolishing process is required to meet many criteria including high flatness, surface roughness lessthan 1 ~ 2 nmRz, free of oxidation-induced stacking fault (OSF), free of micro scratches, and free ofhaze. To attain these characteristics, the polishing process consists of several steps. The firstpolishing aims to obtain a mirror surface at high efficiency, the second polishing improves surfaceroughness with elimination of OSF, and the third and fourth polishing attain a haze-free,contamination-free surface. Si wafer polishing in each step uses an appropriate pad and slurry toachieve required accuracy, quality, and efficiency. CMP slurry is generally an alkali solution withdispersed ultrafine silica particles of colloidal silica (Doi 2001).

On the other hand, pad materials are composed of relatively soft polymers (synthetic resin) suchas nonwoven fabric (first step) and suede-like artificial leather (second and third steps). The wafersare precisely cleaned immediately after the CMP process. A typical wafer cleaning is the so-calledRCA cleaning (Kern 1970):

SC-1 cleaning with NH4OH : H2O2 : H2O ¼ 1 : 1 : 5, diluted HF (DHF) cleaning, andSC-2 cleaning with HCl : H2O2 : H2O ¼ 1 : 1 : 5,

which removes contaminants introduced during the polishing process.

Pressure headmechanism

Drivingmechanism

Hig

h p

recision

& h

igh

qu

ality

Platen drivingmechanism

Conditioning mechanism

Slurry feed mechanism

Compounds of chemical& mechanical actions

AbrasiveFine particles (Silica, Almina, Ceria etc.)

Acid, alkaline, Surfactants, Inhibitors

DIW

Additive

CMPslurry’s contents

CMP pads

Water

Soft pads for bare-sillicon wafer

Mechanical action

Transportation medium forabrasives, Effects of lubrication& cooling.

Electro-chemical & physical effects

Semi-hard pad for planarization CMP

Polishing padPlaten

Fig. 3 Polishing style and element techniques in CMP technology

Handbook of Manufacturing Engineering and TechnologyDOI 10.1007/978-1-4471-4976-7_77-2# Springer-Verlag London 2013

Page 4 of 17

Page 5: Chemical-Mechanical Machining Process … · Art, Science & Technology Center, Kyushu University, Fukuoka, Japan Abstract Electronics, optics, mechanics, and opto-mechatronics, which

After the abovementioned polishing and cleaning process, the high-quality Si wafers areprocessed to fabricate devices. The similar ultraprecision processing explained here is also appliedto planarization CMP of device wafers after 1990 especially in multilayer metallization. Figure 4shows the processing flow from Si crystal growth to wafer process and the processing flow of deviceprocess which applies planarization CMP.

Additionally, the CMP technology has expanded its applications in many materials includingcompound semiconductors such as GaAs and InP, quartz, metals, and plastics. The CMP technologyis one of the most highlighted machining processes in many industries.

In the next section, a planarization technique, which has become indispensable in the semicon-ductor device process, will be introduced.

Current Status of CMP for Semiconductor DevicesFirst, the reason why CMP technology has become indispensable to the semiconductor deviceprocessing is explained by introducing the historical background and current situation of semicon-ductor devices. Semiconductor devices are produced by constructing extremely fine patternsconsisting of small components such as transistors, resistors and capacitors, and electric wiringwhich connect each component to create electric circuits. Figure 5 is a photograph of semiconductordevices under formation of fine patterns on a Si wafer. The surface is covered with fine andcomplicated dips and bumps. If one sees the cross section, the bottom of the layer structure is anactive region with numerous components such as transistors on Si wafer. A region of shallow trenchisolation (STI) is stacked on the active region followed by metallization layers to connect compo-nents in the active region as well as plugs to connect lines in each layer. The metallization layers are

Crystal breeding

Lapping (Both side at a time)Etching

Polishig

Application of devicing waferto planrization CMP

Designing function circuit

Designing layout of circuit pattern

Productioning mask

Devicingprocess

(Previousprocess)

Device

Inspection

Composition process

Protection film formation process

Trace process

Element formation process • Formation of isolated structure• Formation of p/n well area (Ion implantation)• Gate deoxide film Formation of polysilicon• Formation of sause/drain (Ion implantation)

Peripheral grinding

Magnet

Wafer Etching liquid

Slicing

IngotSustentation table

Abrasive grain

Group roller

Wafer

Wafer

Beveling

Cleaning Inspection

Grindingstone

Quartz crucibleGraphite crucible

Melt

Heater

(Restprocess)

Fig. 4 Fabrication process of bare silicon wafers and the CMP technique’s application to devices process

Handbook of Manufacturing Engineering and TechnologyDOI 10.1007/978-1-4471-4976-7_77-2# Springer-Verlag London 2013

Page 5 of 17

Page 6: Chemical-Mechanical Machining Process … · Art, Science & Technology Center, Kyushu University, Fukuoka, Japan Abstract Electronics, optics, mechanics, and opto-mechatronics, which

insulated and mechanically supported with interlayer dielectric. As shown here, the multilayermetallization is a technology to connect various fine components created systematically on thewafer with narrow lines of metal stacked for several layers and to achieve designed function of thedevice. This technology is realized with flattening CMP, which is the application of ultraprecisionpolishing. The CMP technique is regarded as a standard flattening process and indispensable to thesemiconductor device process now (Doi 2001). Before introduction of planarization CMP, the onlypractical planarization method was spin on glass (SOG), which could fabricate at most three layersof metallization as shown in Fig. 6, and this was the major bottleneck for the development of verylarge-scale integrated circuit (VLSI) (Doi 2001).

To realize multilayer metallization after CMP of STI, several times of flattening CMP steps formany kinds of materials such as oxidation layer, tungsten, and copper as wiring metal are required atappropriate timing in the fabrication process (Doi et al. 2011). Figures 7 and 8 show CMP methods

Fig. 6 Effect of planarization CMP (comparison between Al and Cu multilayer wiring) (Courtesy of Hitachi)

PlanarizationCMP process

Exterior view of a Silicon wafer with devicepatterns formed & planalized by CMP

Cross-section of Cu multilayer wiring realizedby CMP method

Cu–CMP

Cu–CMP

Cu–CMP

Cu–CMP

Cu–CMPCu–CMP

Cu–CMPW–CMPSTI–CMP

(contact plug)

(interlayer dielectric)

Silicon wafer

(Cu wiring)

(STI)

(Ref. N. Ohashietal, IITC Proceedings, 2001,p. 140.)

a

b

Fig. 5 Micro-patterns formed on a silicon wafer, and multilayer wiring structures produced by CMP technology

Handbook of Manufacturing Engineering and TechnologyDOI 10.1007/978-1-4471-4976-7_77-2# Springer-Verlag London 2013

Page 6 of 17

Page 7: Chemical-Mechanical Machining Process … · Art, Science & Technology Center, Kyushu University, Fukuoka, Japan Abstract Electronics, optics, mechanics, and opto-mechatronics, which

for dielectric film and interconnecting metal, respectively. In the case of the latter, Cu-CMP is calledDamascene process (the embedding wiring planarization CMP).

Figure 3 in the last section presents equipment and basic components of CMP. Among thesecomponents, a pad and slurry determines the CMP performance, thus quite important. Since CMPfor bare Si wafers and device wafers has different purposes, the specification of the pad and the slurryare different for these processes (Doi et al. 2011). Moreover, since the LSI technology evolvesrestlessly, it is necessary to follow the technological trend in a timely manner. For example,reduction of resistance by narrowing the line width is the major issue in the current wiringtechnology, and at this moment Cu line is used due to its low resistivity. However, further narrowingof the line width requires to support the Cu line with porous insulation layer having a low dielectricconstant, which is the so-called Cu/low-k interconnects. In the Cu/low-k interconnect structure, anextra care is required during the CMP process to avoid collapse of interlayer dielectric and Cumetallization layers because the mechanical strength of the interlayer becomes extremely low andthe thin film tends to peel off. Since peeling of a film is dominated by a shear stress applied to theinterface, one tries to avoid the peeling of the low-k layer by reducing the pressure applied to the

(CMP for deviceelements)

AI-CMP

Cu-CMP

(CMP for deviceelements)

(CMP for deviceelements)

Ti/TiN (Barrier metal)

Ta/TaN (Barrier metal)

Cu

W

AI

SiO2, Low-k film

Cu-embedding wiring

2 step CMP; Cu-CMP & BM-CMP

W plug

Damascene gate

Stop on SiO2 film

Stop on SiO2 film

Stop on SiO2 film

SiO2

Fig. 8 Metal-CMP applications (Damascene process) (H. Chibahara)

Si-Sub.

SiO2

SiO2

SiO2

SiO2

SiN

PMD-CMP1

2

(CMP for deviceelement)

STI-CMP

PMD-CMPIMD-CMP

PMD-CMP(CMP for device

element)

(CMP)

Gate

SiO2 for isolation

Stop on SiN film

Stop on SiO2

Stop on SiO2

Stop on poly-Si

Al

Dummy gate

IMD-CMP

Ti/TiN AlCu

Poly-Si

Fig. 7 Dielectric film-CMP applications. STI shallow trench isolation, ILD inter layer dielectric, PMD pre metaldielectric, IMD inter metal dielectric (H. Chibahara)

Handbook of Manufacturing Engineering and TechnologyDOI 10.1007/978-1-4471-4976-7_77-2# Springer-Verlag London 2013

Page 7 of 17

Page 8: Chemical-Mechanical Machining Process … · Art, Science & Technology Center, Kyushu University, Fukuoka, Japan Abstract Electronics, optics, mechanics, and opto-mechatronics, which

wafer during the CMP process (Doi and Kinoshita 2001). Nevertheless, according to the Preston’slaw, which is an empirical law in the polishing field, the removal rate (PR) is determined by,

PR ¼ k � p � v,

where k is a Preston constant determined by polishing conditions, p is a pressure applied to a work,and v is a relative velocity or rotation. Therefore, the removal rate will decrease when the appliedpressure is reduced. To supplement the decreased removal rate, it is necessary to increase the relativevelocity (v) or Preston constant (k) by enhancing chemical effect of the slurry. In addition to thissolution, people attempt to avoid reduction of Cu volume by reducing the thickness of barrier metalsuch as tantalum (Ta). When the thickness of Ta reaches its limit of thinning, alternative metals suchas ruthenium (Ru) are considered now. Although Ru is an expensive noble metal, it is expected to bethe most promising metal owing to its lattice matching, wetting property, and low resistivity(Ryuzaki et al. 2009).

As discussed above, for the current structure of multilayer metallization having fragile low-kmaterials and new materials, the flattening CMP has an increasing demand for less dishing erosion

Table 1 The achievement situation of planarization CMP in 2012

Fields The achievement situation of fiscal year 2012

Processing/equipmenttechnology

j300 mm is the mainstream of the mass production

The mass production grail starts by j450 mm

Edge exclusion (EE) : 2 mm, setting removal rate variation <2.5 % (1s)Throughput > 50/h (interlayer, interconnection)

Special note : Practical implementation of dual Damascene Cu-CMP process

◆Cu-CMP : Polish uniformity, WIWNU < 2 % WTWNU < 2 %, WTWNU2 %

Dishing <20 nm (20 mm isolated pattern), erosion < 15 (L/S : 20 mm/0.25 mm)

Cu removal rate > 1,000 nm

Barrier polishing : Cu/barrier film 2/3 step polishing

Consider about the nano-topography problem, dishing, and erosion<20 nm

Cleaning/washingtechnology

In the case of Cu-CMP:

j300 mm: Metal impurities concentration < 1E10 atom/cm2

j300 mm: Particle size (include scratch)

Surface 80/ wafer (>0.16 mm) 30/wafer (> 0.2 mm)

Back side 200/wafer (>0.16 mm) 60/wafer (> 0.2 mm)

Measurement/evaluationtechnology

Practical realization of APC(Advanced Process Control)

Integrated management of in-process and in-line monitor, interlocking movement withother process, CIM-ization

Accuracy of in-process monitor < 1 %(practical value on the oxidation film)

Particle detection performance > 0.16 mm (practical value on the oxidation film)

Construction of particle management technique at back side and end face of water

In-process monitor ( mainly used for EPD), in-line monitor ( feed back the informationabout uniformity, planarity, defection of water to the process)

Device technology Apply Cu-CMP to 30 nm generation device

Number of wiring layer 10–13 layer Wiring width 0.04 ~ 0.8 mmPermittivity of interlayer dielectric k ¼ 2.3–2.5 (dishing) + (erosion)<20 nm, 3 ~ 10%of wiring height

Handbook of Manufacturing Engineering and TechnologyDOI 10.1007/978-1-4471-4976-7_77-2# Springer-Verlag London 2013

Page 8 of 17

Page 9: Chemical-Mechanical Machining Process … · Art, Science & Technology Center, Kyushu University, Fukuoka, Japan Abstract Electronics, optics, mechanics, and opto-mechatronics, which

and edge inclusion (EE). Recent introduction of novel materials such as Ru requires higherperformance of a pad and slurry. Additionally, there is a problem of wafer expansion (450 mm) aslisted in ITRS. The CMP process must be developed with total consideration of the entire Si processstarting with bare Si wafer fabrication (Doi et al. 2007a). Table 1 shows the achievement levels fortechnical items related to the flattening CMP summarized by Planarization CMP Research Group/Committees in the Japan Society for Precision Engineering (Doi 2013). The items include machin-ing equipment technology, cleaning/purification technology, measurement/characterization tech-niques, device fabrication technology, and CMP applications. As shown in the table, there are manyissues unsolved in each item.

In the next section, the machining equipment technology and their supporting supplies, which areimportant in CMP technology, are explained.

Recent CMP Equipment and Supply MaterialsTechnology Trend of CMP EquipmentThere were approximately 15 manufactures of CMP equipment in about 1995. With intense compe-tition and elevation of the technology level, the most of the manufacturers vanished from the market.

Fig. 9 Examples of CMP systems (all automatic machine of cassette to cassette and dry-in-dry-out)

Air layer

Airbag for Zone controlWafer

Locally in- plane wafer was pressed by zone compacting forceHigh-precision polishing profile can be controlled

Air Table

Air Table

Zone control ON

Membrane

Fig. 10 Head zone control method in CMP system (Tokyo Seimitsu)

Handbook of Manufacturing Engineering and TechnologyDOI 10.1007/978-1-4471-4976-7_77-2# Springer-Verlag London 2013

Page 9 of 17

Page 10: Chemical-Mechanical Machining Process … · Art, Science & Technology Center, Kyushu University, Fukuoka, Japan Abstract Electronics, optics, mechanics, and opto-mechatronics, which

Currently, Applied Materials (AMAT) and Ebara Corporation dominate the market (Doi 2013).Figure 9 shows an example of the CMP machine by Ebara Corporation. All manufacturers employthe same machining method to attain the wafer-surface standard reference; however, the method andthe head mechanism to achieve uniform pressure are different between each manufacturing company.Typically, an air-bag method which presses the wafer from the backside of the wafer is used. Figure 10shows an example design of the polishing head by Tokyo Seimitsu Co.

Recently each equipment manufacturer improves the perfection of the machine, although eachcompany takes its own approach. Applied Materials focuses on control techniques such as processcontrols rather than improvement of hardware. A control technique called real-time process control(RTPC) monitors the polishing profiles, figures out the best polishing conditions, and adjusts thecondition in the real time during the process. Optical endpoint detection technology is alsoimproved. Conventionally the endpoint was detected with a system called ISRM, which utilizeslaser beams. Currently, they use a technology called FullVisionTM which utilizes multiwavelengthlight (The Semiconductor Industry 2008). They also use a window embedded in a pad calledWindow-In-PadTM to observe the wafer surface.

Ebara Corporation utilizes their simulation technology to conduct fluid analysis and structuralanalysis. They conduct the simulation and actual process in parallel and evaluate the result (Tabata2009). As for the minimization of edge exclusion area, they analyze the stress at the roll-off of theedge and evaluate how the shape of the roll-off has an influence on the pressure distribution duringpolishing (Hiyama et al. 2008).

Tokyo Seimitsu makes more efforts on novel component technologies. For example, theydeveloped and announced an endpoint detection system using Eddy current from a skin effect.Figure 11 is the fundamental mechanism of the endpoint detection using a skin effect. As shown inthe figure, the endpoint is determined by detecting the maximum of Eddy current induced by a skineffect during the polishing under a high-frequency magnetic field generated by a plane coil. Thedetection sensitivity near the endpoint can be increased by reducing the penetration of the magneticfield into the devices (Fukuda et al. 2009).

Current standard CMP machines by most of the manufactures have polishing heads with multi-zone pressure control (Fig. 12) to improve higher uniformity under lower-pressure condition, which

Fig. 11 Fundamental mechanism of the endpoint detection using a skin effect

Handbook of Manufacturing Engineering and TechnologyDOI 10.1007/978-1-4471-4976-7_77-2# Springer-Verlag London 2013

Page 10 of 17

Page 11: Chemical-Mechanical Machining Process … · Art, Science & Technology Center, Kyushu University, Fukuoka, Japan Abstract Electronics, optics, mechanics, and opto-mechatronics, which

is necessary to ensure polishing process of Cu/low-k interconnect structure. When the pressure isreduced, the removal rate is also reduced. To supplement the loss of speed, more effective slurrymust be developed. In this context, E-CMPwhich applies electro-machining is attractive since it canattain high removal rate with minimum friction during CMP.

Supply Materials: Slurry and PadsHere the recent trend of slurry and pads as supply materials, which are quite important to realizeCMP technology, as shown in Fig. 3, is explained. Among the several kinds of slurry for CMP, oneforW has shown sufficient level of quality. Each manufacturer develops high-performance slurry for

Zone pressurecontrol

Rib pressurecontrol

Edge pressurecontrol

010002000300040005000

0 20 40 60 80 100 120

010002000300040005000

0 20 40 60 80 100 120

010002000300040005000

0 20 40 60 80 100 120

Mul�-zone control head of Novellus, and a wafer profile processed by the zone control

(drawings: courtesy of Novellus System Inc.)

(a) In case of a wafer center pressure

(b) In case of a wafer circumference pressure

(c) In case of a wafer edge pressure

Removal rate in distribu�on under a wafer during CMP (Profile of removal rate in a wafer)

Fig. 12 An example of polishing heads with multi-zone pressure to improve higher uniformity

Fig. 13 Standard for selecting abrasives

Handbook of Manufacturing Engineering and TechnologyDOI 10.1007/978-1-4471-4976-7_77-2# Springer-Verlag London 2013

Page 11 of 17

Page 12: Chemical-Mechanical Machining Process … · Art, Science & Technology Center, Kyushu University, Fukuoka, Japan Abstract Electronics, optics, mechanics, and opto-mechatronics, which

STI, Cu, and other materials, especially one having non-Prestonian characteristics. Hitachi Chem-ical announced nano-colloidal ceria slurry of 5 nm, which can attain a removal rate of more than100 nm/min for an oxide layer at the concentration of 0.01 wt% or more. It is worth noting that theremoval rate of 400 nm/min was obtained at 0.1 wt% of concentration (Ryuzaki et al. 2009).Figure 13 summarizes the characteristics of various kinds of slurry for STI and interlayer dielectricmainly.

On the other hand, slurry for line metals is different from one for insulators. For example, oxidizeris indispensable for line metals like Cu and W or barrier metals like TiN, Ta, and TaN. Currently,instead of the conventional hydrogen peroxide, people start to use APS (ammonium persulfate)which has high flattening capability although the lifetime is short. This is because the metalpolishing has a mechanism where the oxidizer forms an oxide layer on the surface of the workand the weakened oxide layer is removed with abrasives and a pad. For the recent buried Cumetallization, Ru film is considered as a replacement of Ta or TaN to improve the coverage in Cuelectroplating. Ru film requires a new kind of slurry; therefore, development of higher-performanceslurry is more and more active.

As for CMP pads, in addition to the standard IC pads made of polyurethane foam (Fig. 3/R&Hcorp.), newer pads are being developed. For example, WSP (water soluble particle) pads by JSRCorp. and halogen-free pads by Toray Corp. are available in the market. Recently, Cabot Micro-electronics Corp., which is a leading supplier of slurry, released that an Epic Pad (D100) foamedwith carbon dioxide gas could minimize the dishing erosion. Figure 14 shows the example of poredistribution for the Epic Pad (D100 and D200) observed with an electron microscope.

Challenges Toward Innovative CMPIt has been discovered that CMP under high pressure of air or oxygen with a newly invented bell-jar-type closed CMP machine (See Fig. 15)could increase a removal rate compared with the ordinarypolishing under atmospheric pressure (Fujita et al. 2007; Doi et al. 2004; DeNardis et al. 2005). Inthis setup, the existence of high-pressure oxygen has a significant impact on the removal rate, andchemically stable silicon dioxide films as well as chemically and mechanically stable sapphirecrystals showed 1.5–2 times faster removal rate. Recently polishing of glass substrates for HDDwasattempted using the bell-jar-type closed CMPmachine, as a part of the “development of materials forrare earth replacement” by NEDO (New Energy and Industrial Technology Development

Fig. 14 Example for Cabot’s pad (different pore size and distribution of D100 and D200)

Handbook of Manufacturing Engineering and TechnologyDOI 10.1007/978-1-4471-4976-7_77-2# Springer-Verlag London 2013

Page 12 of 17

Page 13: Chemical-Mechanical Machining Process … · Art, Science & Technology Center, Kyushu University, Fukuoka, Japan Abstract Electronics, optics, mechanics, and opto-mechatronics, which

Organization). Figure 16 is an example of a relationship between the removal rate of glass substrateby ceria slurry and the ambient pressure inside the bell jar (i.e., chamber) (Ohnishi et al. 2011;Yamazaki et al. 2010). When polished under various compressed gases, the removal rate increasedwith the pressure inside the bell jar. Particularly, polishing under high-pressure (500 kPa) air enabled2.2 times faster removal rate. Currently, the mechanism of this enhancement is not clear, but it issurmised that the excess oxygen has an effect on the polishing process.

Gallium nitride (GaN) crystals, which is highlighted as a promising material for LED lighting andlaser diodes, are very stable both chemically and mechanically, thus require a breakthrough toachieve efficient machining. A double removal rate of GaN under high-pressure oxygen or air was

15014013012011010090

Rem

oval

rat

e [n

m/m

in]

80706050400

100 0 100

Vacuum High pressure gas atmosphereAir

2.3 times

02

N2

200 300

Pressure inside the Bell-jar [kPa]

Polishing pressure: 7.7kPa Revolution speed: 60min−1

Ceria slurry (abrasive concentration 2.0wt%)

Conventionalpolishing

(Polishing characteristics by applying “Bell-jar CMP machine”)

400 500 600

Fig. 16 Relation between the removal rates of glass substrate and pressures inside Bell-jar using ceria slurry

Safety relief valve

Pressure gaugeObservation window

Bolts for fastening the cap

Bell-jar cover

Bell-jar

(Conventional open-type CMP machine,when the cover is left open)

(Pressure resistant chamber made of stainless steel)

Regulator for vacuum

Weight

Workpiece

Pad

Slurry

Vacuum pump

Gas

Sealing-ring(O-ring)

Slurry pumpMachine’sprocessingunit

Regulat for gasor

An outside view of the Bell-jarshaped CMP machine

Fig. 15 Construction drawing of the bell-jar shaped CMP machine

Handbook of Manufacturing Engineering and TechnologyDOI 10.1007/978-1-4471-4976-7_77-2# Springer-Verlag London 2013

Page 13 of 17

Page 14: Chemical-Mechanical Machining Process … · Art, Science & Technology Center, Kyushu University, Fukuoka, Japan Abstract Electronics, optics, mechanics, and opto-mechatronics, which

confirmed with the bell-jar-type CMP machine as shown in Fig. 17, demonstrating the effectivenessof this bell-jar approach (Doi et al. 2012).

Similarly, silicon carbide (SiC) for power devices, which also presents chemical and mechanicalstability, has an issue of low machining efficiency. Application of the bell-jar-type CMP enhancedthe removal rate by several tens of percent under high-pressure oxygen, although the rate was stilllow (Doi et al. 2007b).

The abovementioned experiments implied that intentional supply of oxygen on the polishingsurface would improve the machining efficiency. One of the approaches from this idea is introduc-tion of active oxygen by a photocatalytic reaction. It is generally said that irradiation of ultraviolet(UV) light on TiO2 particles excites electrons to a higher energy band leaving holes which convertO2�ions into highly oxidizing oxygen radicals. CMP of SiC substrates under high-pressure oxygenwas attempted in the bell-jar-type CMP machine with UV irradiation through a glass (quartz)window on the bell jar (see Fig. 18) and addition of TiO2 particles to colloidal silica. Figure 19 isthe comparison of removal rate of SiC single crystal substrates with and without UV irradiation forvarious kinds of gas in the bell jar (Doi et al. 2007b). With UV irradiation to TiO2 added to the

Fig. 18 The photocatalytic reaction under the high-pressure gas (UV is applied to the slurry on the pad surface)

60

50

40

30

Rem

oval

rate

(nm

)

20

10

0Standard

atmosphereOxygen400 kpa

Oxygen500 kpa

Air500 kPa

Fig. 17 Relationship between removal rate of GaN and gases/pressure inside chamber of Bell-jar type CMP machine(effect of ambient during CMP)

Handbook of Manufacturing Engineering and TechnologyDOI 10.1007/978-1-4471-4976-7_77-2# Springer-Verlag London 2013

Page 14 of 17

Page 15: Chemical-Mechanical Machining Process … · Art, Science & Technology Center, Kyushu University, Fukuoka, Japan Abstract Electronics, optics, mechanics, and opto-mechatronics, which

colloidal silica slurry, the removal rate became twice faster than that without. This seems to be theeffect of photocatalytic reaction. It should be noted that over four times higher removal rate wasobtained under high-pressure oxygen with UV irradiation. This condition resulted in a satisfactorypolished surface of SiC substrates with the surface roughness (Ra) of 0.2 nm.

The bell-jar-type closed CMP machine demonstrated efficient machining of extremely hardmaterials such as SiC with high-quality finish by introducing high-pressure oxygen andphotocatalysis. This approach gave an important insight to the machining of hard materials and itis expected to become one of the important future machining technologies.

Summary

This chapter reviewed the development history of polishing techniques which have been the cutting-edge technology to meet the demands in any time and focused on the CMP technology, which isindispensable to the semiconductor device processing now. The background of CMP technology inthe semiconductor processing and the recent technological trend were also covered. The futurepolishing process, which will contribute to the world through production of innovative opto-mechatronic devices, were also introduced.

Incidentally, a part of this work was supported by JSPS KAKENHI Grant(Grant-in-Aid forScientific Research(S)) Number 24226005.

References

Cook LM (1990) Chemical processes in glass polishing. J Non-Cryst Solids 120:152–171DeNardis D, Doi T et al (2005) Impact of gaseous additives on copper CMP in neutral and alkaline

solutions using a CAP system. J ECS 152:11Doi T (2001) Details of semiconductors CMP technology. Kogyo Chosakai, Tokyo (in Japanese)Doi T (ed) (2013) The Planarization CMP Committee, Japan Society for Precision Engineering;

Planarization; CMP & its applications, vol 15 (in Japanese)

3

2.5

2

1.5R

emov

al r

ates

(μm

/h)

UV–not applied

UV–applied

1

0.5

0Gas chargedinside bell-jar None

Atmosphericpressure

Pressureinside bell-jar

N2

500kPa500kPa 500kPa

Workpiece: SiC single crystal

O2Air

500kPa

Ar

Slurry: Colloidal silica

+

Pad: IC1000(K) /SUBA400

Polishing Pressure: 500g/cm2

(30nm silica 5wt%, pH4)

TiO2 (0.8μm,0.5wt%)

RR with high pressure O2 & UV applications4.5 times as high as that of the conventionalCMP.

Fig. 19 Effectiveness of UVapplication & photocatalytic reactions (comparison of removal rates between UV-appliedand UV-not applied slurry containing titania particles by type of gases using a bell-jar shaped CMP machine)

Handbook of Manufacturing Engineering and TechnologyDOI 10.1007/978-1-4471-4976-7_77-2# Springer-Verlag London 2013

Page 15 of 17

Page 16: Chemical-Mechanical Machining Process … · Art, Science & Technology Center, Kyushu University, Fukuoka, Japan Abstract Electronics, optics, mechanics, and opto-mechatronics, which

Doi T, Kinoshita M (eds) (2001) The Planarization CMP Committee: CMP technologies.GlobalNetCorporation, Tokyo, pp 242–252 (in Japanese)

Doi T, Philipossian A, Ichikawa K (2004) Design and performance of a controlled atmospherepolisher for silicon crystal polishing. Electrochem Solid-State Lett 7(8):G158–G160

Doi T, Hiyama H, Fukuda A, Kurokawa S (2007a) Trend of semiconductor devices andplanarization: Technology with future development. J Jpn Soc Precis Eng 73(7):745 (in Japanese)

Doi TK et al (2007b) Impact of novel bell-jar type CMP machine on CMP characteristics ofoptoelectronics materials. Int J Manuf Sci Technol 9(1):5–10

Doi T, Marinescu ID, Kurokawa S (2011) Advances in CMP/polishing technologies for themanufacture of electronic devices. William Andrew Applied Science Publishers (Elsevier),Oxford

Doi T,Marinescu I, Kurokawa S (2012) Advances in CMP polishing technologies. Elsevier, Oxford,pp 267–273

Fujita T, Doi T, Kamikawa D (2007) JunjiWanatabe: development on surface reference conditioningusing flexible fiber – analysis on pad surface condition using no conditioning pad. J Jpn Soc PrecisEng 73(7):745 (in Japanese)

Fukuda A, Kodera A, Toma Y, Suzuki T, Hiyama H, Doi TK, Kurokawa S (2009) Simulation ofelectrochemical mechanical polishing. In: Proceedings of the international conference onplanarization/CMP technology 2009, Tokyo, pp 473–478

Hiyama H et al (2008) Numerical simulation for ULSI manfacturing process. J Jpn Soc Precis Eng74(5):435–440 (in Japanese)

Kerns W, Puotinen, DA (1970) Clean solutions based on hydrogen peroxide for use in siliconsemiconductor technology. RCA Rev 187–206

Ohnishi O, Doi T et al (2011) CMP characteristics of SiC wafers using a simultaneous double-sideCMP machine – effects of atmosphere and ultraviolet light irradiation. In: Proceedings of theadvanced metallization conference 2011, pp 110–111, Izehoe, Germany

Ryuzaki D et al (2009) Proceedings of the ICPT2009, vol 31, Fukuoka, JapanTabata (2009) Electrical Journal 290th Technical Seminar, pp 61–72 (in Japanese)The Semiconductor Industry News, 1794, 6 Nov 2008Yamazaki T, Doi T et al (2010) Polishing mechanism of glass substrates with its processing

characteristics by cerium oxide and manganese oxide slurries. Key Eng Mater 447–448:141–145

Handbook of Manufacturing Engineering and TechnologyDOI 10.1007/978-1-4471-4976-7_77-2# Springer-Verlag London 2013

Page 16 of 17

Page 17: Chemical-Mechanical Machining Process … · Art, Science & Technology Center, Kyushu University, Fukuoka, Japan Abstract Electronics, optics, mechanics, and opto-mechatronics, which

Index Terms:

Abrasives 2Air-bag method 10Ammonium persulfate (APS) 12Bell-jar type closed CMP machine 12Chemical mechanical polishing (CMP) 1Colloidal silica 3, 14Cu/low-k interconnects 7Damaged layer 3Damascene process 7E-CMP 11Edge inclusion (EE) 9Endpoint detection 10Gallium nitride (GaN) 13Haze-free surface 4Highly-oxidizing oxygen radicals 14IC pads 12Lapping polishing 1Low-k materials 8LSI devices 4Mechanical polishing 2Mechano-chemical polishing (MCP) 3Micro scratches 3Multi-zone pressure control 10Opto-mechatronic devices 15Oxidation induced stacking fault (OSF) 4Pads 11Photo-catalytic reaction 14Planarization CMP 5, 8Polishing head 10Polyurethane foam 12Preston's law 8RCA cleaning 4Removal rate 8, 12Roll-off of the edge 10Shallow trench isolation (STI) 5, 12Si crystal 2, 5Silica (SiO2) particles 2Silicon carbide (SiC) 14Slurry 11Spin-on-glass (SOG) 6Surface roughness 4Ultra-high precision polishing 1Ultra-precision polishing 1Ultraviolet (UV) light 14Zeta potential 2

Handbook of Manufacturing Engineering and TechnologyDOI 10.1007/978-1-4471-4976-7_77-2# Springer-Verlag London 2013

Page 17 of 17