Characterization of XUV sources

142
Characterization of XUV sources Stuik, R. DOI: 10.6100/IR558141 Published: 01/01/2002 Document Version Publisher’s PDF, also known as Version of Record (includes final page, issue and volume numbers) Please check the document version of this publication: • A submitted manuscript is the author's version of the article upon submission and before peer-review. There can be important differences between the submitted version and the official published version of record. People interested in the research are advised to contact the author for the final version of the publication, or visit the DOI to the publisher's website. • The final author version and the galley proof are versions of the publication after peer review. • The final published version features the final layout of the paper including the volume, issue and page numbers. Link to publication Citation for published version (APA): Stuik, R. (2002). Characterization of XUV sources Eindhoven: Technische Universiteit Eindhoven DOI: 10.6100/IR558141 General rights Copyright and moral rights for the publications made accessible in the public portal are retained by the authors and/or other copyright owners and it is a condition of accessing publications that users recognise and abide by the legal requirements associated with these rights. • Users may download and print one copy of any publication from the public portal for the purpose of private study or research. • You may not further distribute the material or use it for any profit-making activity or commercial gain • You may freely distribute the URL identifying the publication in the public portal ? Take down policy If you believe that this document breaches copyright please contact us providing details, and we will remove access to the work immediately and investigate your claim. Download date: 13. Feb. 2018

Transcript of Characterization of XUV sources

Page 1: Characterization of XUV sources

Characterization of XUV sources

Stuik, R.

DOI:10.6100/IR558141

Published: 01/01/2002

Document VersionPublisher’s PDF, also known as Version of Record (includes final page, issue and volume numbers)

Please check the document version of this publication:

• A submitted manuscript is the author's version of the article upon submission and before peer-review. There can be important differencesbetween the submitted version and the official published version of record. People interested in the research are advised to contact theauthor for the final version of the publication, or visit the DOI to the publisher's website.• The final author version and the galley proof are versions of the publication after peer review.• The final published version features the final layout of the paper including the volume, issue and page numbers.

Link to publication

Citation for published version (APA):Stuik, R. (2002). Characterization of XUV sources Eindhoven: Technische Universiteit Eindhoven DOI:10.6100/IR558141

General rightsCopyright and moral rights for the publications made accessible in the public portal are retained by the authors and/or other copyright ownersand it is a condition of accessing publications that users recognise and abide by the legal requirements associated with these rights.

• Users may download and print one copy of any publication from the public portal for the purpose of private study or research. • You may not further distribute the material or use it for any profit-making activity or commercial gain • You may freely distribute the URL identifying the publication in the public portal ?

Take down policyIf you believe that this document breaches copyright please contact us providing details, and we will remove access to the work immediatelyand investigate your claim.

Download date: 13. Feb. 2018

Page 2: Characterization of XUV sources

Characterization ofXUV sources

Karakterisatie van XUV bronnen

Page 3: Characterization of XUV sources
Page 4: Characterization of XUV sources

Characterization of XUV sources

PROEFSCHRIFT

ter verkrijging van de graad van doctor aan deTechnische Universiteit Eindhoven, op gezag van de

Rector Magnificus, prof.dr. R.A. van Santen, voor eencommissie aangewezen door het College voor

Promoties in het openbaar te verdedigenop maandag 7 oktober 2002 om 16.00 uur

door

Remko Stuik

geboren te Zierikzee

Page 5: Characterization of XUV sources

Dit proefschrift is goedgekeurd door de promotoren:

prof.dr. M.J. van der Wielenprof.dr.ir. G.M.W. Kroesen

Copromotor:dr. F. Bijkerk

CIP-DATA LIBRARY TECHNISCHE UNIVERSITEIT EINDHOVEN

Stuik, Remko

Characterization of XUV sources / by Remko Stuik. – Eindhoven : Technische Universi-teit Eindhoven, 2002. – Proefschrift.ISBN 90-386-2019-5NUR 910Trefw.: XUV / Lichtbronnen / Lithografie / Submicronfysica / RoentgenfluorescentieSubject headings: XUV / Light sources / Lithography / X-ray fluorescence

Druk: PrintPartners Ipskamp, Enschede

The work described in this dissertation is part of a research programme of the “Stichtingvoor Fundamenteel Onderzoek der Materie” (FOM) with financial support from the “Ne-derlandse organisatie voor Wetenschappelijk Onderzoek” (NWO), the “Dutch TechnologyFoundation” (STW), the INCO Copernicus programme of the European Commission (#IC15-CT97-0707) and ASML.The work was carried out at the “FOM-Instituut voor Plasmafysica” in Nieuwegein, TheNetherlands.

Page 6: Characterization of XUV sources

To Nancy and my family who always supported me.

Page 7: Characterization of XUV sources

This thesis is based on the following publications:

Chapter 2 R. Stuik, E. Louis, A.E. Yakshin, P.C. Gorts, E.L.G. Maas, F. Bijkerk, D.Schmitz, F. Scholze, G. Ulm, and M. Haidl, Journal of Vacuum Science andTechnology B 17 (6), 2998-3002 (1999).

Chapter 3 R. Stuik and F. Bijkerk, Nuclear Instruments and Methods A 489/1-3, 370-378(2002).

Chapter 4 R. Stuik, F. Scholze, J. Tummler, F. Bijkerk, accepted by Nuclear Instrumentsand Methods A (2002).

Chapter 5 R. Stuik, H. Fledderus, P. Hegeman, J. Jonkers, M. Visser, V Banine, andF. Bijkerk, Second SEMATECH Workshop on Extreme UV Lithography, SanFrancisco, International Sematech Vol. CD (2000).

Chapter 6 M. Kroon and R. Stuik, SPIE Microlithography, Santa Clara, SPIE Vol. 4343,665-675 (2001).

Chapter 7 R. Stuik, L.A. Shmaenok, A.A. Sorokin, I.C.E. Turcu, W. Shaikh, N. Spencer,and F. Bijkerk, Annual Report 97/98, 121-122 (Rutherford Laboratory, 1998).

Chapter 8 R. Stuik et al., to be published (2002).

Chapter 9 R. Stuik, L.A. Shmaenok, H. Fledderus, S.S. Andreev, E.A. Shamov, S.Y.Zuev, N.N. Salashchenko, and F. Bijkerk, Journal of Analytical Atomic Spec-trometry 14, 387-390 (1999).

The author was also (co)author of the following publications:

R. Stuik, R. Constantinescu, P. Hegeman, J. Jonkers, H. Fledderus, V Banine, and F. Bijkerk,SPIE’s 45th Annual Meeting, San Diego, SPIE Vol. 4146, 121-127 (2000).

R. Stuik and F. Bijkerk, accepted by SPIE Vol. 4688-124 (2002).

L.A. Shmaenok, C.C. de Bruin, H. Fledderus, R. Stuik, A.A. Schmidt, D.M. Simanovskii, A.A.Sorokin, T.A. Andreeva, and F. Bijkerk, Emerging Lithographic Technologies II, St. Clara,SPIE Vol. 3331, 90-95 (1998).

A.A. Andreev, F. Bijkerk, H. Fledderus, N.N. Salashchenko, E.A. Shamov, L.A. Shmaenok, R.Stuik, and S.Y. Zuev, X-Ray Optics, Nizhny Novgorod (1999).

E. Louis, A.E. Yakshin, P.C. Gorts, S. Oestreich, R. Stuik, M.J. Kessels, E.L.G. Maas, F.Bijkerk, M. Haidl, S. Mullender, M. Mertin, D. Schmitz, F. Scholze, and G. Ulm, EmergingLithographic Technologies IV, SPIE’s 25th Annual International Symposium on Microlithogra-phy, Santa Clara, SPIE Vol. 3997, 406-411 (2000).

F. Bijkerk, R. Stuik, L.A. Shmaenok, A.A. Sorokin, I.C.E. Turcu, W. Shaikh, and N. Spencer,Technical Report CLRC-RAL (Rutherford Laboratory/TMR Large-Scale Facilities Access Pro-gramme, 1999).

E. Louis, A.E. Yakshin, P.C. Gorts, S.A. Abdali, E.L.G. Maas, R. Stuik, F. Bijkerk, D. Schmitz,F. Scholze, G. Ulm, and M. Haidl, Emerging Lithographic Technologies III, Santa Clara, SPIEVol. 3676, 844-845 (1999).

Page 8: Characterization of XUV sources

Contents

1 Introduction 1

I XUV Collection and detection systems 9

2 Multilayer simulations 112.1 Abstract . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112.2 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112.3 Experimental . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122.4 Numerical optimization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12

2.4.1 Single Mo/Si mirror . . . . . . . . . . . . . . . . . . . . . . . . . . 142.4.2 Ten-mirror system (Mo/Si) . . . . . . . . . . . . . . . . . . . . . . 142.4.3 Mo/Be versus Mo/Si . . . . . . . . . . . . . . . . . . . . . . . . . . 162.4.4 Angle and polarization . . . . . . . . . . . . . . . . . . . . . . . . . 172.4.5 Application to sources . . . . . . . . . . . . . . . . . . . . . . . . . 18

2.5 Experimental verification . . . . . . . . . . . . . . . . . . . . . . . . . . . . 182.6 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 182.7 Acknowledgements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

3 Linearity of P-N junction photodiodes under pulsed irradiation 213.1 Abstract . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 213.2 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 213.3 Experimental . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23

3.3.1 Generation of calibration pulses . . . . . . . . . . . . . . . . . . . . 233.3.2 Diode read-out . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24

3.4 Results and discussion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 263.4.1 Low power measurements . . . . . . . . . . . . . . . . . . . . . . . 273.4.2 High power measurements . . . . . . . . . . . . . . . . . . . . . . . 28

3.5 Extrapolation to other wavelength bands . . . . . . . . . . . . . . . . . . . 313.6 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 323.7 Acknowledgements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33

4 Absolute calibration of a multilayer based XUV calibration setup 354.1 Abstract . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 354.2 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 354.3 Setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 374.4 Calibration of individual elements . . . . . . . . . . . . . . . . . . . . . . . 37

v

Page 9: Characterization of XUV sources

vi Contents

4.4.1 Oscilloscope and signal integration . . . . . . . . . . . . . . . . . . 37

4.4.2 Bias electronics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38

4.4.3 Photodiode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38

4.4.4 Filter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39

4.4.5 Multilayer mirror . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41

4.4.6 Diaphragm and solid angle . . . . . . . . . . . . . . . . . . . . . . . 43

4.4.7 Gas transmission . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44

4.5 Single wavelength calibration . . . . . . . . . . . . . . . . . . . . . . . . . 44

4.6 Extended wavelength band calibration . . . . . . . . . . . . . . . . . . . . 45

4.6.1 Multiple-mirror systems . . . . . . . . . . . . . . . . . . . . . . . . 47

4.7 Out-of-band radiation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48

4.7.1 Limited XUV calibration range . . . . . . . . . . . . . . . . . . . . 48

4.7.2 VUV/Vis/IR light . . . . . . . . . . . . . . . . . . . . . . . . . . . 49

4.8 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49

4.9 Acknowledgements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50

4.10 Appendix: Calculation of the XUV yield . . . . . . . . . . . . . . . . . . . 51

5 Flying Circus EUV source comparison 53

5.1 Abstract . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53

5.2 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53

5.3 Diagnostics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54

5.4 Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55

5.4.1 Z-pinch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55

5.4.2 Dense Plasma Focus . . . . . . . . . . . . . . . . . . . . . . . . . . 57

5.4.3 Laser Produced Plasma . . . . . . . . . . . . . . . . . . . . . . . . 59

5.4.4 Capillary Discharge . . . . . . . . . . . . . . . . . . . . . . . . . . . 60

5.4.5 Hollow Cathode Triggered pinch plasma . . . . . . . . . . . . . . . 62

5.5 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64

5.6 Acknowledgements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66

5.7 addendum . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67

6 Luminescent materials for EUV 69

6.1 Abstract . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69

6.2 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69

6.3 Sample preparation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70

6.3.1 Requirements on the luminescent materials . . . . . . . . . . . . . . 70

6.3.2 Deposition of thin CaS:Ce layers on quartz substrates . . . . . . . . 71

6.4 Experimental setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71

6.5 Experimental results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72

6.5.1 Input pulse shape measurements . . . . . . . . . . . . . . . . . . . . 72

6.5.2 Luminescence experiments . . . . . . . . . . . . . . . . . . . . . . . 74

6.5.3 Surface roughness measurement . . . . . . . . . . . . . . . . . . . . 82

6.6 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82

6.7 Acknowledgements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83

Page 10: Characterization of XUV sources

Contents vii

II Source optimization 85

7 Optimization of picosecond sources 877.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 877.2 Material and methods . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 877.3 Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 887.4 Discussion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 917.5 Acknowledgements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91

8 Laser-Plasma Wall Interaction 938.1 Abstract . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 938.2 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 938.3 Experimental . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 948.4 Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96

8.4.1 Line identification . . . . . . . . . . . . . . . . . . . . . . . . . . . . 968.4.2 CF2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 978.4.3 Al . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100

8.5 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1028.6 Acknowledgements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103

III Applications 105

9 Low Energy-XRF-MDA 1079.1 Abstract . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1079.2 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1079.3 Experimental technique . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109

9.3.1 Illumination system . . . . . . . . . . . . . . . . . . . . . . . . . . . 1109.3.2 Fluorescence detection and analysis system . . . . . . . . . . . . . . 111

9.4 Outlook . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1129.5 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1139.6 Acknowledgements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1139.7 Addendum . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113

Bibliography 115

Summary 121

Samenvatting 125

Dankwoord 129

Curriculum Vitae 131

Page 11: Characterization of XUV sources
Page 12: Characterization of XUV sources

Chapter 1

Introduction

The use of radiation in the XUV range—the wavelength range between several tenthsand several tens of nanometers1—in optical applications, has a number of key advantagesover other wavelength regions. This primarily becomes apparent in imaging applications,which take advantage of the potentially improved resolution with respect to the visible andUV range, and the availability of normal incidence, multilayer mirror optics. Additionaladvantages include the specific absorption and emission features of XUV which can beused in the elemental analysis of, especially, low-Z elements.

In general, the resolution limit of an optical system is given by the diffraction limitaccording to the Rayleigh equation [5],

R = k1.22λ

NA, (1.1)

where k is an application-dependent coefficient and NA the numerical aperture of theoptics used. Thus, in the XUV region the resolution potentially is one to two orders ofmagnitude higher than in the UV or the visible region. A prerequisite for achieving suchan improved resolution is the ability to obtain a similar numerical aperture and k valueas in the visible and UV range. The availability of normal incidence multilayer opticalsystems in the XUV allows the use of high NA optics. Recently, considerable progress hasbeen made in the fabrication of multilayer mirrors which presently show normal incidencereflectivities ranging from several percent at 3 nm up to more than 70% near 13 nm [6].This fast increase in optical quality and reflectivity was enabled by new techniques used inthe fabrication of multilayers, especially to improve the interfaces between the—atomicallythin—layers.

An obvious and recent example in which the imaging potential of XUV radiation iscurrently being pursued, is Extreme Ultraviolet Lithography (EUVL). The continuous

1The nomenclature of the wavelength range is not well defined. In literature EUV is used for ExtremeUltraviolet and XUV is used for both eXtreme Ultraviolet and X-ray Ultraviolet [1]. According to Samson[2], the whole range between 0.2 and 200 nm is labelled as Vacuum UV [3]. For wavelengths shorter than90 two overlapping regions are used: the Extreme UV between 0.2 and 90 nm and the soft X-ray regionbetween 0.2 and 30 nm. Tousey [4] proposed to contract the Extreme Ultraviolet and the soft x-ray toXUV. Nowadays, the term EUV is mainly used in lithography, indicating the wavelength band around13.5 nm. The name XUV has been chosen in this dissertation to emphasize the broader wavelength rangeinvestigated

1

Page 13: Characterization of XUV sources

2 Chapter 1 · Introduction

demand for smaller structures for semiconductor microchip fabrication is traditionallybeing met by reducing the wavelength used in the lithography process involved. Thecurrently applied DUV techniques, operating at 193 to 157 nm, ultimately are expected toenable a resolution of approximately 70 nm [5]. Smaller structures in future generations ofsemiconductor circuits require even higher resolutions and therefore shorter wavelengths.It is expected that a resolution down to 25 nm can be achieved using XUV radiation. Atthis level the resolution is no longer limited by the wavelength, but by the design of theoptical system and accuracies obtained in the manufacturing process of the optics.

Another recent application of imaging techniques in the XUV, equally taking advan-tage of the developments in multilayer optics, consists of an extension of X-ray fluorescenceanalysis (XRF), namely with combination of microscopy allowing 2D spatial analysis. ForLow-Energy XRF, where excitation takes place using XUV radiation, the properties ofthe specific interaction of XUV radiation with matter are important. Especially for thelighter elements, XUV emission and absorption spectra are relatively straightforward: theindividual emission lines in the spectra are well separated and are therefore more easilyanalyzed than at longer wavelengths, where the abundance of spectral lines makes anal-ysis less straightforward. An added advantage is that the absorption cross-section in theXUV region is significantly higher—by two orders of magnitude—than for harder X-rays.Despite the losses due to Auger decay—the main relaxation channel for excited atoms inthe XUV region—the this enhanced absorption cross-section allows a high sensitivity withrespect to XRF at higher photon energies. The use of multilayer imaging optics to detectthe fluorescence radiation allows the determination of the distribution of the elementalcomposition across the surface at high resolution and efficiency. Simultaneously, the useof small laboratory sources, as compared to synchrotron facilities, may allow the use ofXRF at individual institutes. This technique can, for example, provide information onthe position of carbon contamination in catalysts, or the distribution of boron dopants insemiconductors [7, 8], on-site at the laboratory or factory. Appropriate multilayer opticsallows efficient collection and high resolution imaging of K-shell fluorescent radiation oflighter elements, up to Oxygen.

Source requirements

The common denominators between the two applications mentioned above are the XUVoptics and the XUV light source. For both applications, the XUV source power is ofcrucial importance, as this determines the time needed to either print a pattern on a waferor analyze a sample. EUVL is only commercially feasible if the wafer throughput—thenumber of microchips that can be produced per hour— is comparable to the throughputin conventional, DUV lithographic techniques. Currently, the requirement on the powerof EUVL sources is 50 − 120 W in “clean, usable photons” [9] (see section 5.7). The timeavailable for XRF sample analysis usually is several minutes to several tens of minutes,analogously giving a requirement on the minimum source power.

The use of multilayer optics implies that only radiation in a narrow wavelength bandcan be used. Simultaneously, only light that can be collected by the optical system canbe used. The power requirements on the source therefore refer to the power within thebandwidth of the optical system (“in-band”) and the etendue of the source, being given

Page 14: Characterization of XUV sources

3

by the product of the XUV emitting source size and the collection angle. The source thushas to be matched to the optical system (see e.g. Banine et al. [10]). All power emittedout-of-band and outside the etendue of the optical system will only lead to a thermalload on the optical system, giving deformations and loss of resolution. With XUV sourcesgenerally being based on high temperature plasma systems, contamination by the sourceis a main factor in the lifetime of the source and optics in direct line of sight of the source.The most efficiently emitting plasmas are usually operated at a temperature of severaltens to several hundreds of electronvolts and inherently produce high-energetic particlesthat would damage the multilayer coatings of the optics. Since replacement of XUV opticsis time consuming and expensive, the contamination should be sufficiently suppressed toallow a life time of the optics comparable to the (economical) lifetime of the stepper ormicroscope, which is set to be several years.

Imaging applications using XUV also set limits on the maximum allowable fluctuationsin source power, position and size. Source power fluctuations will lead to uncertainties inthe dose on the mask, in the case of EUVL, and on the sample, in the case of XRF, whilesource position and size fluctuations cause imaging distortions. Both the reliability of thedata obtained by XRF as well as the control over the smallest printable structures (“CDcontrol”) in EUVL [10] are critical to the application.

Source development

When EUVL was initially proposed in the 80s, no source was available that met allthe requirements. Many concepts were available, ranging from sources based on electronimpact [11], synchrotron radiation [12] or hot plasmas [13, 14], but all were lacking power,stability and lifetime. Individual sources were being investigated at various locations, butwithout a coherent, concerted effort by e.g. industry to stimulate the development of highpower sources or the selection of the most appropriate source concept.

Recently, the need for high-power, high-stability and reliable sources for XRF and es-pecially EUVL has shifted the research and development of XUV sources from universitiesand research institutes to commercial research laboratories. A large number of (quasi)commercial efforts in source development were initiated to produce a suitable XUV sourcefor these high-demand applications. New initiatives to improve on existing source con-cepts, like the pinch plasma [15, 16], the synchrotron [17, 18] and the laser plasma [19]were started to meet the strict requirements on power, stability and contamination. Thedevelopment is now financially stimulated by industry to meet the time schedule set forEUVL (Fig. 1.1)2.

Source characterization

With the major effort currently put into the development of sources for EUVL, at a largenumber of locations, a variety of measurement techniques are being used to determine

2The source power for the different sources is the “raw” source power. Requirements oncontamination—given by an exposed optics lifetime of 1011 pulses—, out-of-band emission—given bythe fraction of radiation outside the band of interest of ∼2% around 13.5 nm—and requirements on gashandling will give additional losses, up to 80% (see e.g. Benschop et al. [20]). These losses lead to aminimum requirement on the “raw” source power of up to 250 W.

Page 15: Characterization of XUV sources

4 Chapter 1 · Introduction

Figure 1.1: Development time line of EUV sources for EUVL wafer steppers and realizedEUV source power for a number of candidate sources, as presented at the various EUVLworkshops. Open symbols indicate power obtained in burst mode. The minimal required“clean”, usable EUV source power for EUVL is indicated by the horizontal line2. Indi-cated are also the Flying Circus(FC) measurements —a measurement campaign whereone absolutely calibrated diagnostic was used to determine source parameters of 5 can-didate sources for EUVL—, in the first half of 2000 (FC 1) and the proposed 2nd FlyingCircus campaign (FC 2).

the source parameters, like power and stability of the source and contamination by thesource. Even though fixed requirements are set by EUVL, the large variety in mea-surement techniques, combined with the large variation in source properties can lead tosignificant deviations between the reported source properties. Comparison of the differentsources becomes virtually impossible and the development of a coherent characterizationtechnique of the sources is vital. To meet the demand for a consistent method of sourcecharacterization, a fully calibrated and portable diagnostic was designed and produced.

This diagnostic was used to characterize 5 candidate sources for EUVL. The calibrationof this diagnostic is described in chapter 3 and chapter 4, with the results of themeasurements reported in chapter 5. For the first time, a comprehensive comparisonwas performed of the different XUV sources, while at the same time a consistent set ofrequirements was set and accepted by the EUV community. Presently, this diagnostic isaccepted and in use by various source developers and has led to a commercial spinoff.

As a parallel activity, luminescent materials for use in alignment systems in EUVL wereinvestigated. These materials are used as an alternative to , for example, a CCD camera,which are sensitive to radiation-induced damage and contamination. Using luminescentmaterials, the detection of radiation can take place in the visible light range, preventingradiation induced damage, while these materials might be easier cleaned or replaced.Chapter 6 describes the performance of luminescent materials in the XUV region.

Page 16: Characterization of XUV sources

5

Source optimization

In the previous two sections, source requirements by the two applications were described,as well as a standardized diagnostic for measurements of a number of these requirements.With the requirements known and diagnostic available, the question arises which physicalproperties determine the performance of the source and how these can be controlled.

First, the source and optical system needs to be matched. For example, when usingmultilayer optics, the optimal throughput of a multiple-mirror system in the XUV regionis achieved for Mo/Si multilayer mirrors in the band between 12.8 and 15 nm, with themaximum throughput at 14.4 nm (chapter 2). Due to a steep dependence of the multiple-mirror reflectivity on the wavelength, any source that has an optimal emission outsidethis region is at a disadvantage.

Second, the source concept needs to allow for stable operation, especially at the highsource powers required. For example, many laser plasma sources for EUVL depend on theuse of a cooled Xe gas jet, in which a laser plasma is generated several millimetres fromthe gas jet nozzle. For low powers a stable flow pattern can be established, but when thelaser power is increased to several kilowatts, the resulting heating of the nozzle may causea significant disturbance of the Xe cooling and flow, leading to large fluctuations in theXUV generation, e.g. as seen in Fig. 5.9 of this dissertation.

Third, as part of this work, it was found that a good control over the source environ-ment and over the influence of the source on its environment is needed. For high-powerXUV sources based on a hot plasma, a significant fraction of the input energy is emittedas thermal radiation and fast particles. Both the thermal load on the components andoptical elements, as well as the interaction of fast ions created in the source needs to betaken into account. The sharp decrease in reflectivity of multilayer mirrors exposed to thesource, as seen for example in section 5.4.3, could be directly traced back to significanterosion of the source electrodes. In the case of sources where the EUV is generated ina gas, the self absorption in the gas can become dominating. The increase in emissionof 1.7×, between the measurements performed during the Flying Circus campaign andseveral weeks later, as seen in section 5.4.1, was mainly achieved by small modificationsin gas flow. In a Xe gas, for example as described in section 5.4.3, a significant fraction ofthe radiation is absorbed in the Xe outside the plasma region. At a pressure of 5 Torr—asexists in the neighborhood of a typical Xe jet—the transmission of XUV radiation at13.5 nm is only 13% in 5 mm of gas. Thus, a large increase in source output can beachieved if the gas flow in the system and especially in the near-source area [21] is wellcontrolled.

The first EUV lithographic tools are currently being build, like the alpha-tool by ASMLand Zeiss and the Engineering Test Stand (ETS) by the EUV LLC. The performance ofthe ETS is being demonstrated [22] and the assembly of a development wafer stepperfor EUVL is being prepared [23]. The first pre-production steppers are to be deliveredto the chip manufacturers in 2005. Optimization of source performance is still neededand ongoing, as obvious from the recently approved European MEDEA+ [24] project, inwhich 700 man-years will be used for optimizing both laser produced plasma (LPP) anddischarge plasma light sources for EUVL.

An important factor in these programmes, is the conversion efficiency from laser powerto XUV power, which determines the required power for a certain XUV output power.An overview of different conversion efficiencies for laser produced plasmas, measured at

Page 17: Characterization of XUV sources

6 Chapter 1 · Introduction

different source and target conditions, is shown in Fig. 1.2.

Figure 1.2: The conversion efficiency (CE) of laser produced plasmas (LPPs), createdunder a variety of irradiation conditions, laser wavelengths and pulse lengths, and targetmaterials. The CE was measured in the 13 − 14 nm range. The abbreviations indicatethe various research laboratories. The target materials were: (1) solid Au and Sn [25], (2)solid Xe [26], (3) solid Re [27], (4) gaseous Xe [19, 28], (5) liquid H2O [29, 30], (6) solidH2O [31], (7) solid Re [32], (8) solid Li [33], (9) liquid/gaseous Xe [34], (10) Li [35], (11)solid Au [36], (12) solid Xe [37], (13) gaseous Xe [38], (14) liquid/gaseous Xe [39].

Optimization of the conversion efficiency of an LPP is described in this dissertationin two areas: investigation of optimal plasma parameters using a picosecond laser systemand interaction of a high-temperature expanding laser plasma with a solid object.

Most sources in Fig. 1.2 have a pulse duration in the nanosecond range. In thisrange, the generation of solid-target laser plasmas is accompanied by a large emissionof particles, leading to contamination. Simultaneously, in Bijkerk et al. [40], a reducedpulse length of the XUV emission was seen. Only the first part of the laser pulse was usedfor efficient plasma generation, while the plasma expansion in the later phase caused areduced efficiency.With an existing indication given by Turcu et al. [41], that picosecondpulses lead to an enhanced efficiency in the keV wavelength band, also the efficiency atthe EUV wavelengths was explored, in chapter 7. In these first measurements of theXUV emission from picosecond LPPs, the efficiency was found to be of the same order ofmagnitude as for nanosecond LPPs. Further investigation has to prove if other factors,like the amount of debris emitted, are sufficiently improved to compensate the increaseddifficulty of producing picosecond pulses with respect to nanosecond pulses.

A totally different approach is laser-plasma wall interaction (LPWI), the interactionbetween an expanding plasma of an LPP and a solid surface. The expanding plasma cancarry >50% of the laser energy. By placing a “wall” in the expanding plasma the kineticenergy can be used to reheat the plasma and give rise to a bright emission in the near wallzone. The collision of the plasma gives rise to a stagnation zone, where different processestake place, ranging from enhanced cooling and population inversion to charge exchangeprocesses of the highly ionized plasma and the neutral wall atoms. Simultaneously, theintense, energetic particle flux might be used to simulate ablation processes as taking

Page 18: Characterization of XUV sources

7

place in the vicinity of the hot XUV sources and in tokamak divertors. In chapter 8,the spectral and spatial distribution of the plasma emission were studied for Al and CF2,in order to investigate the plasma dynamics.

In chapter 9, the application to XRF is described. Low-Energy X-Ray FluorescenceMicro-Distribution-Analysis, using a laser plasma as XUV source and multilayer optics asimaging elements—investigated on its usefulness as a new method of element mapping—ispresented.

Page 19: Characterization of XUV sources
Page 20: Characterization of XUV sources

Part I

XUV Collection and detectionsystems

9

Page 21: Characterization of XUV sources
Page 22: Characterization of XUV sources

Chapter 2

Multilayer simulations

2.1 Abstract

Reported is the optimization of the fabrication of Mo/Si multilayer systems producedby e-beam evaporation and ion-beam smoothening. The impact of a number of coat-ing parameters is verified such as variation of the mirror’s centre wavelength and themetal fraction of the bi-layer (Γ-ratio), resulting in reflectivities of up to 68.6% at normalincidence. Parallel to this experimental work, a numerical optimization based on experi-mentally determined multilayer properties is carried out on the throughput of multi-mirrorlithographic systems for the 11 nm to 15 nm wavelength region using Mo/Si and Mo/Becoatings. Centre wavelength, Γ-ratio and layer stack have been optimized. The calcula-tions show an optimum throughput for a 10-mirror Mo/Si-system at 14.4 nm, assuminga light source with a wavelength independent spectrum.

2.2 Introduction

With the increasing number of reflective optical elements in recent EUV Lithographydesigns, throughput has taken on a larger significance. Obtaining the highest possiblenear-normal incidence reflectivity is therefore a major research issue in multilayer fabrica-tion laboratories. Theoretically, the maximum reflectivity is reached at a wavelength closeto the absorption edge of the spacer material, e.g. the Be-K (11.1 nm) or the Si-LII,III

edge (12.4 nm). However, in a practical system the throughput is not only determinedby the peak reflectivity, but also by the bandwidth of the system. Both calculationsand measurements on experimental multilayer coatings indicate that the reflectivity re-duces, while the bandwidth increases at longer wavelengths—factors which obviously haveopposite effects on the throughput.

We performed a systematic experimental and theoretical study on multilayer perfor-mance for the wavelength range from 11 to 15 nm. The reflectivity of Mo/Si coatingsis experimentally determined and the properties of these coatings are used as input fora realistic numerical model to perform simulations of peak and integrated reflectivity ofboth single mirrors and multi-mirror systems for the material combinations Mo/Be andMo/Si. Polarization effects for off-normal angles of incidence are discussed, as well as theimpact of several candidate EUV sources.

11

Page 23: Characterization of XUV sources

12 Chapter 2 · Multilayer simulations

2.3 Experimental

Figure 2.1: Near-normal incidence reflectivity of Mo/Si multilayer mirrors produced atFOM for the wavelength range between 12.8 nm and 14.6 nm.

The method employed to produce the coatings is e-beam evaporation in combinationwith in-situ monitoring of the layer growth [42]. Smoothening of the layer surfaces isobtained by polishing the individual layers with low energy ions after growth [43, 44]. Weproduced 50-period multilayer coatings with different d-spacings and Γ-ratios, intendedto reflect at near-normal incidence in the wavelength range from the Si-LII,III edge up toabout 15 nm. Figure 2.1 shows the reflectivity for some of these coatings, measured at1.5 off-normal at the PTB reflectometry facility [45] at the electron storage ring BESSYI. Although the measured reflectivity is slightly decreasing from 68.6% at 12.8 nm down to66.3% at 14.6 nm for a Γ-ratio of 0.4, the bandwidth (FWHM) of these reflectivity curvesincreases from 0.45 nm to 0.66 nm, resulting in a significant increase of the integratedreflectivity (Fig. 2.2).

We used Cu-Kα grazing-incidence specular reflectivity measurements to perform θ−2θmeasurements on the multilayers and analyzed the results in two different ways, namelyby Fourier analysis of the individual Bragg maxima [46] and by curve fitting. The Fourieranalysis shows a total roughness of 0.22 nm to 0.25 nm, while the curve fitting procedureresults in a Debye-Waller rms roughness of 0.3 nm. AFM measurements performed onthe top layer of the coatings give an indication of the smoothness of the layers. Scanninga 1×1 µm2 area of a 50 period Mo/Si multilayer shows an rms roughness of 0.13 nm.

2.4 Numerical optimization

The multilayer reflectivity has been calculated by recursively applying the Fresnel equa-tions to the individual multilayer interfaces, taking into account phase effects at theinterfaces, attenuation and internal reflections of radiation by the multilayer structure[42]. The calculations are performed using the optical constants of the “Center for X-rayOptics”-website [47] and Soufli et al. [48], with a density of the materials equal to the

Page 24: Characterization of XUV sources

2.4 · Numerical optimization 13

Figure 2.2: Measured peak reflectivity and bandwidth (FWHM) of single multilayersbetween 12.8 and 14.6 nm.

bulk density. The roughness is described in the model by the Debye-Waller factor [49],and incorporated as a scattering factor instead of an increase in interface transmission.Since the effect of roughness is best observed in multilayers with a small d-spacing, wefitted the shortest wavelength curve of Fig. 2.1 with an accuracy in both peak reflectivityand bandwidth of better than 0.3%, resulting in a σ-value in the DW-model of 0.65. Theσ-value is used as the only parameter to fit the near-normal incidence reflectivity andincludes all effects that influence the final reflectivity, such as interlayer formation, inter-mixing, reduced material density, layer composition, top layer oxidation, and roughness.Therefore, this value does not represent the actual interface roughness as found by Cu-Kα

analysis (0.22 to 0.3 nm) or AFM (0.13 nm). A variation of the σ-value only gave slightvariations in the position of the optimal wavelength (within 0.3 nm) as long as the σ-valuewas taken equal for all wavelengths. In the case of Mo/Be the σ-value was 0.60, fitting thereflectivity curve presented in Montcalm et al. [50] with an error of 0.5% in width andpeak reflectivity, resulting in errors smaller than 10% in the final results on multi-mirrorsystems. Calculations were limited to the number of layers that resulted in a reflectivityof at least 99% of the theoretical value in the case of an infinite number of layers. Thisleads to 50 bi-layers of Mo/Si or 60 bi-layers of Mo/Be with the top layer consisting ofrespectively Si or Be, to reduce the effect of oxidation. The integrated reflectivity wasobtained by taking the n-th power of the simulated single mirror reflectivity (with n thenumber of mirrors), followed by a convolution with the source spectrum and integrationover a sufficiently wide bandwidth, centred at the central wavelength of the multilayercoating. Most calculations were performed for reflection at normal incidence on the mul-tilayer assuming a light source with a wavelength independent intensity. The effects oflarger angles and different sources were calculated separately. In the multi-mirror systemscalculated, all mirrors were taken identical, i.e. effects due to mirror mismatching are notincluded.

Page 25: Characterization of XUV sources

14 Chapter 2 · Multilayer simulations

2.4.1 Single Mo/Si mirror

Figure 2.3: Calculated peak (a) and integrated reflectivity (b) for a single Mo/Si mirror,as a function of wavelength (left to right) and gamma ratio (front to back).

Both peak and integrated reflectivity of a single Mo/Si mirror were calculated varyingthe wavelength and Γ-ratio. The results of these calculations are shown in Fig. 2.3. Asharp increase in peak reflectivity is found close to the Si-LII,III edge, mainly due to thereduced absorption of the Si spacer layers, with the highest reflectivity value of 72.9%occurring at λ = 12.4 nm and Γ = 0.43. The optimal λ0 for the integrated reflectivity ofa single mirror was above 15 nm. For longer wavelengths both the reflectivity of a singleMo/Si interface as the absorption in the multilayer stack increase. The combinationof these two effects leads to a slightly lower peak reflectivity but considerably largerbandwidth, and therefore to a higher integrated reflectivity for longer wavelengths.

2.4.2 Ten-mirror system (Mo/Si)

Results on optimization of a system consisting of ten Mo/Si mirrors are shown in Fig. 2.4.The peak reflectivity shows the same overall behaviour as in the single mirror case with

Page 26: Characterization of XUV sources

2.4 · Numerical optimization 15

Figure 2.4: Calculated peak (a) and integrated (b) reflectivity of a 10 mirror Mo/Si systemas a function of wavelength (left to right) and gamma-ratio (front to back).

the optimum wavelength again at 12.4 nm and a Γ of 0.43. The total peak reflectivityamounts to 4.2%, corresponding to the tenth power of the single mirror reflectivity. Theintegrated reflectivity, however, differs notably from the single mirror case in the sensethat an optimum is found at 14.4 nm. The position of this optimum varies only slightly(within 0.3 nm) when taking different values for the σ-value. At the optimum the inte-grated reflectivity amounts to 0.58 nm%. The optimal Γ-ratio (0.40) is slightly smallerthan the value needed for maximum peak reflectivity. The maximum at 14.4 nm in the in-tegrated ten-mirror reflectivity is the result of two oppositely acting effects: the increasedbandwidth with increasing wavelength and the decrease of the peak reflectivity (Fig. 2.2).In contrast to the single mirror case, beginning with 2 mirror systems a maximum appearsin the calculated domain due to an increase in the slope of the peak reflectivity curve.Up to 13 mirrors, the maximum is found around 14.4 nm. For more than 13 mirrors,this maximum is at a position half the bandwidth of the multi-mirror system from theSi-edge, at around 12.5 nm: the effect of the peak reflectivity being highest close to theedge then fully dominates the larger bandwidth for longer wavelengths.

Page 27: Characterization of XUV sources

16 Chapter 2 · Multilayer simulations

2.4.3 Mo/Be versus Mo/Si

Figure 2.5: Comparison of calculated peak (a) and integrated (b) reflectivity of a 10-mirrormultilayer system for Mo/Si versus Mo/Be. Crosses are calculated from experimentalreflectivity curves of Mo/Si mirrors.

Analogous calculations have been performed for a ten-mirror Mo/Be system. Theresults are shown in Fig. 2.5 for optimal Γ-ratios for each wavelength. This optimalΓ-ratio ranges from 0.43 to 0.40 for the wavelength range from 11.2 nm to 15 nm. Thehighest single-mirror peak reflectivity obtainable with a Mo/Be mirror is higher than forMo/Si, which results in a ten-mirror peak reflectivity of 6.1% at 11.2 nm, about 45% higherthan the highest peak reflectivity of the corresponding Mo/Si case. The behaviour of theintegrated reflectivity of Mo/Si and Mo/Be is significantly different. While the optimumfor Mo/Si is located at some distance from the edge, the Mo/Be optimum wavelength isat 11.2 nm, about half the mirror bandwidth from the edge. The ten-mirror integratedreflectivity for Mo/Si is 50% higher than for Mo/Be. Clearly, the effect of the reduced

Page 28: Characterization of XUV sources

2.4 · Numerical optimization 17

bandwidth of Mo/Be, as compared to Mo/Si, dominates the gain in peak reflectivity.

2.4.4 Angle and polarization

Figure 2.6: Calculated integrated reflectivity of a ten-mirror Mo/Si system for s-polarization (a) and p-polarization (b), for different angles of incidence ranging from0 to 30.

In EUVL designs most optical elements will be used at angles of incidence rangingfrom 2 to 15 off-normal. In these cases polarization effects play an important role. For asingle mirror the off-normal reflectivity of s-polarized radiation increases for larger angles,while the reflectivity of p-polarized light is suppressed, both compared to the normalincidence case. As can be seen in Fig. 2.6, this effect becomes increasingly importantfor a ten-mirror system. The throughput for s-polarized light has almost doubled, whilethe p-polarized component has almost disappeared. The value of the optimal wavelengthshifts slightly for s-polarized light, with the long wavelength optimum now at 13.7 nm at30. In the case of p-polarization the optimum shifts to shorter wavelengths for very largeangles (>25), but this effect is negligible due to the low throughput of the p-polarizedcomponent. In this calculation all reflections were taken such that the polarization wasthe same with respect to all mirrors, a situation that obviously can only be realized in atwo-dimensional system. In the real three-dimensional case a mixing between the s- andp-polarization will occur. Thus, calculations of the throughput have to be carried out foreach specific optical system and should be used as input parameter for the final EUVLdesign.

Page 29: Characterization of XUV sources

18 Chapter 2 · Multilayer simulations

2.4.5 Application to sources

All previous calculations were done using a hypothetical white source with a wavelengthindependent spectrum. In the final application however, the throughput has to be convo-luted with the source spectrum, which might shift the wavelength for optimal throughput.Narrow-bandwidth or line sources having a bandwidth smaller than the bandwidth of aten-mirror multilayer system (< ∼0.3 nm), yield the highest throughput when used witha mirror system of which the centre wavelength is adapted to the source, e.g. Mo/Bebetween 11.2 nm and 12.4 nm and Mo/Si above 12.4 nm. For broadband sources, themultilayer system constitutes the prime wavelength selective element and highest through-put is therefore given by the integrated reflectivity. In most cases this leads to a Mo/Sisystem. One exception is the Xe laser plasma source [51] of which the high intensity nearthe Be-edge favours a Mo/Be multilayer system. Tuneable broadband sources, such as acompact synchrotron radiation source [52], of which the wavelength of highest intensitycan be tuned to the optimum of the multi-mirror system are most likely to yield thehighest intensity near 14.4 nm using a Mo/Si system.

2.5 Experimental verification

For verification of the numerical results, the experimental, single mirror, reflectivity curvesof Fig. 2.1 were used to calculate both the peak reflectivity and integrated reflectivity ofa ten-mirror system at discrete points which are indicated by the crosses in Fig 2.5. The10 mirror peak reflectivity calculated from this experimental data deviates less than 3%from the numerical curve and decreases from 2.3% at 12.8 nm to 1.6% at 14.6 nm. Theoptimum at 14.4 nm is observed, but still somewhat less pronounced as in the calculateddata. This is due to the fact that the experimental mirrors are produced with a slightlydifferent Γ-ratio which influences the bandwidth.

2.6 Conclusion

By e-beam evaporation and low-energy ion polishing of the interfaces, we produced low-roughness Mo/Si coatings reflecting 66.3% at λ = 14.6 nm up to 68.6% at λ = 12.8 nm,measured at 1.5 off-normal. Coatings optimized for the longer wavelengths in this rangeshow a significantly larger bandwidth than at shorter wavelengths, resulting in a largerintegrated reflectivity. In the case of a ten-mirror system, calculations show that thehighest throughput is obtained using Mo/Si coatings at λ = 14.4 nm. In this case theintegrated reflectivity of the system is about 15% higher than for a Mo/Si system usednear the Si-edge and 50% higher than for a Mo/Be system used near the Be-edge. Thistrend is confirmed by a calculation of the integrated ten-mirror reflectivity of the measuredexperimental reflectivity data. The highest intensity can be expected if, like in the caseof a compact synchrotron radiation source, the emission of the source can be tuned to themultilayer optical system with the highest throughput, i.e. Mo/Si coatings at 14.4 nm.Only if the source intensity is more than 1.5 times higher and at λ< 12.4 nm, a Mo/Besystem is to be preferred. Polarization effects due to off-normal incidence reflections inmulti-mirror systems can result in a large throughput difference between s-polarized andp-polarized light. If all reflections are at 30 angle of incidence, we find a 70% higher

Page 30: Characterization of XUV sources

2.7 · Acknowledgements 19

integrated reflectivity for the s-polarized component as compared to normal incidence,while the p-polarized component virtually disappears. In realistic systems mixing ofboth polarizations will occur. The optimal wavelength changes significantly only for p-polarization at large angles, but this effect can be neglected due to the low throughput ofthe p-polarized component at these angles.

2.7 Acknowledgements

This multilayer programme is carried out at the FOM Institute for Plasma Physics Rijn-huizen, with EUV characterizations at the PTB soft x-ray reflectometry facilities atBESSY I (Berlin). The work is part of the EUCLIDES EUVL development project coor-dinated by ASML (Veldhoven), and is financially supported by Carl Zeiss (Oberkochen,Germany) within the EC ESPRIT programme. This research is part of the organizationFOM and is additionally supported by STW (Technology Foundation) in The Netherlandsand the EC funded INCO programme. The authors thank B. Meyer and D. Rost at thePTB reflectometry facility for their assistance in the measurements and H. de Witte fortechnical support at the FOM deposition setup.

Page 31: Characterization of XUV sources
Page 32: Characterization of XUV sources

Chapter 3

Linearity of P-N junctionphotodiodes under pulsed irradiation

3.1 Abstract

The dependence of the sensitivity on the radiation pulse length for a P-N junction pho-todiode has been investigated over an extended range of pulse lengths, from 170 ns to1.2 ms. The power incident on the diode surface was varied between 1.6 and 118 mW.

A novel method was used to generate the light pulses with variable length, whilekeeping the temporal pulse shape and the intensity constant. The method consists ofusing a rotating mirror in combination with a DC light source, in our case at 633 and532 nm. In this way, the pulse shape only depends on the geometry of the setup, withthe pulse length solely determined by the rotation frequency of the mirror. No furthercalibration is needed for determination of the pulse intensity and shape.

Accuracies obtained are better than 2%, mainly determined by instabilities in thesetup. The sensitivity of an IRD AXUV-100 photodiode was studied, both with andwithout a reverse bias voltage applied. At unbiased conditions and irradiation levels wellbelow the saturation intensity, the photodiode had a constant, frequency-independentsensitivity over the full range of pulse lengths investigated. The sensitivity decreasedat irradiation levels approaching saturation, with the largest decrease at longer pulselengths. This decrease was fully accounted for by electron-hole recombination at a typicaltime scale of 15±5 µs.

Under biased conditions and pulse lengths below 5 µs, the diode sensitivity remainedconstant for all incident power levels investigated. At longer pulse lengths a decrease insensitivity was observed, both at low and high power. This second type of decrease isattributed to the frequency response of the bias electronics.

An extrapolation of the results to other wavelength ranges is given, including theextreme ultraviolet (EUV) and soft X-ray ranges.

3.2 Introduction

Semiconductor P-N junction diodes, or P-N photodiodes, are increasingly used for themeasurement of absolute radiation intensities of various light sources, both in contin-uous and pulsed mode, and in different wavelength bands [53, 54, 55]. Calibration of

21

Page 33: Characterization of XUV sources

22 Chapter 3 · Linearity of P-N junction photodiodes under pulsed irradiation

the photodiodes is generally done using continuous sources, such as standard lamps [56],or quasi-continuous sources, such as synchrotron radiation sources [57, 58, 59, 60], withwell known or calculable intensities. In the case of pulsed calibration sources, the pulseproperties, like intensity, length, and temporal structure, generally differ from the pulseproperties of the radiation measured in the final application. Although the linearity ofthe diode sensitivity for different intensities can readily be verified [61], the length andtemporal shape of the pulse of the calibration source can generally not be modified tomatch the source under investigation. The different diode processes that determine thedetection efficiency may be dependent on the pulse length or shape, and currently, no ex-perimental data exists to justify the use of, for example, DC calibration for pulsed sourcesor the use of pulsed calibration to sources with a different pulse shape and duration.

Two main processes are relevant to a possible pulse length dependence of the sensitiv-ity: the photon-induced production of electron-hole pairs, and losses due to recombinationin the different diode layers [62]. Recombination can take place either at high electron-holedensities or at impurities in the material of the diode, the so called “trapping centres”.The recombination time at lower electron-hole densities is typically 10 − 20 µs, and de-pends on the power density. When the charge cannot be collected from the diode withinthis time, loss of charge may become significant, for example, when the photon gener-ated current would exceed the maximum current in the diode. In a DC experiment tworegimes can be identified. In the linear regime, the charge is removed on a time scale muchshorter than the recombination time and the sensitivity is independent of the power ofthe incident radiation. At higher power levels recombination predominantly determinesthe measured sensitivity. In pulsed experiments, when the pulses are shorter than thetime needed to reach the charge equilibrium in the diode, the sensitivity can be differentthan in DC experiments, due to the fact that the time scale for recombination is differentthan that for external charge collection.

The time scale for external charge collection is determined by two distinct processes.First, the charge is transported by the internal electric field in the depletion zone of thediode to the edges of the depletion zone. The charge is then collected by an externalelectrical circuit or oscilloscope. The internal charge transport time is determined by theelectron and hole drift velocity in the depletion zone and is typically between 0.1 and 1 ns.Without using additional electronics, such as a bias voltage circuit, the external collectiontime is determined by the RC decay time of the diode-oscilloscope combination, typically>300 ns for large area diodes. The generation of electron-hole pairs by the incidentradiation takes place on a sub-ps time scale [63], which is much faster than any of theother processes involved. Its influence on the time response is therefore negligible.

Determining the dominating process for a particular set of experimental conditions,and thus verifying any presumed pulse length independence, is severely hindered by thelack of calibration sources with pulse lengths that can be varied over a large range. In thispaper, we report on a novel method for the generation of pulses calibrated over four ordersof magnitude in pulse length and three orders of magnitude in incident power. With thismethod, comprehensive measurements were performed on the response of a P-N junctionphotodiode commonly used for source calibration, the IRD AXUV-100 P(I)N diode.1 Thisdiode is suitable for absolute calibration of radiation sources due to its large sensitive area,and is typical of its class of calibration diodes. Pulses of 633 and 532 nm radiation have

1Manufactured by IRD, Torrance, CA, 1999.

Page 34: Characterization of XUV sources

3.3 · Experimental 23

been applied and an extrapolation to other wavelengths, for example, EUV radiationgenerated by laser plasma sources or discharge sources [53], is given. Simultaneously,properties of the diode important for extrapolation to other diodes are investigated.

3.3 Experimental

3.3.1 Generation of calibration pulses

Figure 3.1: Experimental setup for the diode pulse response measurements. Using a fastrotating mirror, the light from the laser is reflected towards the diode positioned behinda diaphragm.

Fig. 3.1 shows the experimental setup used. The light pulses were generated using amechanical pulse generator based on a DC light source. For low irradiation levels on thediode, a He/Ne laser (20 mW, λ = 633 nm, angular divergence 1.8 mrad) was used, whilefor higher intensities a CW Nd:YAG laser (30 mW up to 5 W, λ = 532 nm, 0.9 mrad)was applied. Both lasers had a Gaussian beam profile. The laser beam was aimed ata mirror attached to a motor with an adjustable rotation speed. By placing a lens justbefore the rotating mirror, a fast sweeping beam was generated with the focus at a radiusequal to the focal distance of the lens. The diode was positioned in the focus of the beam,behind a diaphragm. In this setup the pulse length and shape only depend on the rotationfrequency of the mirror and the mechanical layout of the setup. This layout was fixed forall experiments.

Page 35: Characterization of XUV sources

24 Chapter 3 · Linearity of P-N junction photodiodes under pulsed irradiation

By choosing the diaphragm equal to the spot size of the laser at distance L, the pulselength only depends on the full angle divergence of the laser beam, θ, and the frequencyof rotation, f. The aperture size, d, is described by

d = Lθ. (3.1)

The pulse length at FWHM on the diode is determined by the convolution of the di-aphragm size and the Gaussian beam shape which can be written as

∆t ≈√

2 d

L4πf=

√2 θ

4πf. (3.2)

The factor√

2 is determined by matching the diaphragm and Gaussian beam shape. Dueto the small acceptance angle of the diode, the reflectivity of the mirror during the pulsecan be taken as constant. At an angular divergence of θ = 0.9 mrad for the YAG laserand a maximum rotation frequency of f = 600 Hz, the minimum pulse width was 170 ns.The rotation frequency of the motor was determined by measuring the frequency of theincident light pulses on the diode. The DC sensitivity of the diode was determined byfixing the rotating mirror at the position of maximum photocurrent.

The CW He/Ne laser was used to generate pulses between 330 ns and 1.2 ms at a lowincident power of 1.6 mW. The YAG laser enabled a power of up to 118 mW, with a spotof 250 µm on the diode. The maximum power density in the spot was 248 W/cm2. Theinfluence of the incident laser power on the pulsed response, linearity and saturation werestudied for pulse lengths between 170 ns and 12 µs. The consistency between the pulsedresponse of the diode using the YAG laser and He/Ne laser was verified at the low powerlevel.

3.3.2 Diode read-out

Figure 3.2: Measurement scheme for unbiased operation of the diode. The diode is directlyconnected to a storage oscilloscope.

The AXUV-100 diode was electrically connected using two different schemes. Fig. 3.2shows the first scheme, where the diode was connected directly to the 50 Ω input of a1 GHz, 5 Gs/s storage oscilloscope. Fig. 3.3 shows a second setup, in which a reverse

Page 36: Characterization of XUV sources

3.3 · Experimental 25

Figure 3.3: Scheme for biased operation of the diode. The diode is connected to a storageoscilloscope via a bias electronics circuit.

bias voltage of 9 V was applied to improve the time response of the diode and to reducesaturation effects. The values of R and C in the bias circuit were optimized for the fastestdiode response and the highest saturation level for pulse lengths in the range from 10 nsto 1 µs. It is noted that these pulse lengths are typical for most current applications oflaser plasma and discharge plasma light source, including extreme UV lithography [53].In order to maintain the reverse bias on the diode, the capacitor needs to be sufficientlylarge, i.e., be able to store several times the maximum charge expected. The resistorvalue R needs to be significantly larger than the internal resistance of the oscilloscope.In addition, the characteristic RC-time of the circuit needs to be sufficiently short toallow recharging of the capacitor within the rotation cycle of the light beam. The valuesshown in Fig. 3.3 result in a low-frequency cut-off of 5.0±0.5 µs and are optimal for mostshort pulse applications. The capacitor allowed storage of 10× the maximum chargecollected for pulses shorter than 5 µs. The corresponding RC time for recharging of thecapacitor of roughly 10 ms was of the same order as the pulse separation time for short,low-energy pulses and 3 − 10× shorter for long, high-energy light pulses. The 10 pFinternal capacitance of the oscilloscope was sufficiently low to be of no further influenceon the measurements, while the internal resistance could be taken as pure Ohmic. TheAXUV-100 diode had an internal resistance of 60 Ω at small illuminated spot sizes anda capacitance varying between 3 nF without reverse bias and 0.92 nF at a reverse bias of9 V. The junction potential was determined to be 0.71 V.

Two parameters were used to describe the diode response: the collected charge, cal-culated from the total area under the diode response curve and the peak current. Whennot limited by saturation or time resolution of the diode, the peak current indicates the

Page 37: Characterization of XUV sources

26 Chapter 3 · Linearity of P-N junction photodiodes under pulsed irradiation

peak power on the illuminated diode area. The peak current, Ip, is calculated from

Ip =Vp

Ri

, (3.3)

in which Vp is the maximum voltage measured by the oscilloscope and Ri the internalresistance of the oscilloscope.

3.4 Results and discussion

Figure 3.4: Peak current as a function of the incident power on the diode for pulses of9±2 µs. Curve (a) indicates a diode without reverse bias and curve (b) diode with reversebias. The dashed line is a linear fit through low-power data points. The upper dot-dashedline indicates the estimated saturation current for the diode with bias applied and thelower dot-dashed line indicates the saturation current without a reverse bias applied.

The peak current from the illuminated diode was determined as a function of theincident laser power at a fixed and intermediate value of the pulse length of 9 µs. Fig. 3.4shows the peak current as a function of the incident laser power for a fixed spot size onthe diode. The peak current of the unbiased AXUV-100 (a) shows a non-linear responseabove 12 mW of incident power, with a saturation current of 6.0 mA. Biasing the diode(b) resulted in a linear response up to the highest measured incident power of 118 mW.

Further measurements were divided into two ranges of incident power on the diode.In the first range, the incident power on the diode was 1.6 mW, well below the saturationlevel for a diode both with and without reverse bias. In the second range, the power wasvaried up to the maximum value of 118 mW, with the goal to investigate the possibleinfluence of saturation for a variety of pulse lengths.

Page 38: Characterization of XUV sources

3.4 · Results and discussion 27

Figure 3.5: Collected charge as function of the incident pulse length. Diode measurementswithout reverse bias are indicated by curve (a), measurements using a reverse bias areindicated by curve (b). The dashed lines indicate the calculated response of the biaselectronics, fitted to the experimental data. Curve (c) indicates the ratio between thecharges collected by the diode with and without reverse bias applied.

3.4.1 Low power measurements

Fig. 3.5 shows the collected charge on the photodiode as a function of the pulse length ata low incident power of 1.6 mW. Under unbiased conditions (a), a linear increase of thecollected charge was observed with the pulse lengths increasing from 330 ns to 1.2 ms.The maximum collected charge was 1 µC. When using a reverse bias on the diode (b), thecollected charge showed a non-linear behaviour at pulse lengths longer than 5 µs. Thisdeviation is caused by the low-frequency cut-off of the bias electronics. The dashed linein Fig. 3.5 shows the charge expected for an RC-time of 5 µs associated with the biaselectronics used. The circuit cut-off is also visible from the ratio of charges collected withand without reverse bias (c).

In Fig. 3.6 the peak current is plotted normalized to the current at DC illumination.At incident pulses longer than 3 µs, and without bias voltage (a), the peak current wasindependent of the pulse length and within the 2% error margin equal to the DC signallevel. For shorter pulses a sharp decrease in peak current was observed, resulting fromthe finite time needed for the removal of the charge from the diode. The time needed todischarge the diode over the internal resistance of the scope and the diode is given by

tdiode = (Rdiode + Rscope)Cdiode. (3.4)

The 10 pF internal capacitance of the scope may be neglected and, when not applying thereverse bias, tdiode amounted to 360 ns. The influence of this finite discharge time becomesnegligible for pulses longer than 3 µs. When the diode was biased (b), its capacitance waslowered and tdiode decreased accordingly. At an applied reverse bias of 9 V, the capacitancedropped from 3.0 to 0.92 nF resulting in a decrease in tdiode from 360 to 100 ns. For pulse

Page 39: Characterization of XUV sources

28 Chapter 3 · Linearity of P-N junction photodiodes under pulsed irradiation

Figure 3.6: Peak current normalized to the DC height for both the diode without a reversebias voltage applied (a), and with a reverse bias (b).

lengths longer than 5 µs, a decrease in peak current is then seen, similar to the decreasein collected charge in Fig. 3.5. This effect is again caused by the bias electronics.

The bias electronics was found to cause a minor loss in peak current. The peak currentfor the biased diode amounted to 99±2% of the peak current of the unbiased diode. Alsothe collected charge on the biased diode was 99±2% of the charge collected on the unbiaseddiode, for short pulses. This small difference is caused by the bias electronics, which actas a frequency filter on the diode current. The loss factor corresponds to the ratio of theexternal capacitance in the bias supply unit (100 nF) and the internal capacitance of thediode (0.92 nF for the biased diode).

3.4.2 High power measurements

The second series of measurements was performed at power levels between 3.50 and118 mW, a range in which saturation effects can be expected. Fig. 3.6 shows the collectedcharge for various power levels as a function of the pulse length. When applying a reversebias voltage, the collected charge showed an almost linear response up to the highestpower. At the highest power of 118 mW we observed a slight decrease of the collectedcharge at longer pulse lengths, which is again attributed to the frequency cut-off at 5 µsof the bias electronics. Without a reverse bias, the collected charge remained linear asa function of the pulse length only up to a power level of 12 mW. At 118 mW a cleardecrease in slope of the collected charge was observed at longer pulse lengths.

Another way of representing the linearity of the diode is to measure the total collectedcharge versus the total deposited energy. The latter corresponds to the total amountof radiation incident on the diode. Fig. 3.8 shows the collected charge as a function ofthe deposited energy for various power values in the case of a diode with a reverse biasapplied. The energy was varied by changing the pulse length of the incident pulse atfixed power levels. Apart from a minor deviation at pulse lengths longer than 5 µs, the

Page 40: Characterization of XUV sources

3.4 · Results and discussion 29

Figure 3.7: Collected charge as function of the pulse length and incident laser power.Triangles indicate the unbiased diode and squares the biased diode.

Figure 3.8: The collected charge as function of the deposited energy for a diode with areverse bias for various incident power levels and constant spot sizes.

collected charge indeed appears to depend on the incident energy only and is independentof the pulse length.

In Fig. 3.9 the pulse shape of the diode current is shown as a function of time forvarious power levels on the diode of 118 mW. The time was normalized to the calculatedpulse length of the radiation incident on the diode. The top 6 curves are the currenttraces of the diode with a reverse bias applied and the lower 6 curves are the currenttraces when not applying a reverse bias to the diode. The temporal response of the diode

Page 41: Characterization of XUV sources

30 Chapter 3 · Linearity of P-N junction photodiodes under pulsed irradiation

Figure 3.9: Pulse shapes for an unbiased diode and a biased diode for different pulselengths at a high incident laser power of 118 mW. The time scale of all pulses is normalizedto the pulse length of the incident radiation.

with reverse bias applied did not change for different pulse lengths, apart from a slightdecrease in peak current and an increase in relative width for shorter pulse lengths, causedby a limited response time of the diode. For an unbiased diode the peak current saturatedat 6.0±0.6 mA. The relative pulse length decreased for a pulse length longer than 0.75 µs,decreasing the total collected charge.

It is possible to calculate the decrease in collected charge caused by recombination,by comparing the pulse shape of the diode with a reverse bias and without a reversebias. Assuming an exponential decay of charge and fitting the data to the known pulse,a recombination time of 15±5 µs was found for the highest power density on the diode.

The measured saturation current of 6.0±0.6 mA is dependent on the maximum exter-nal voltage the diode can supply and the internal resistance of the oscilloscope accordingto Eq. (3.3). The maximum external voltage, Vmax, of the diode is fraction of the P-Njunction voltage, VPN, as given by

Vmax = VPNRscopeRdiode + Rscope. (3.5)

In a junction diode, the voltage generated over the junction can never exceed the P-N junction potential. For the AXUV-100 diode under investigation, this potential wascalculated from the dopant distribution in the diode (given by supplier in footnote 1)and was found to be 0.71±0.05 V. Due to the limited illuminated diode area, the chargehas to be transported through the thin top layer towards the contacts at the edge of thesensitive diode surface. This top layer, with a thickness of 150±10 nm, acts as a resistiveelement with a resistance of Rdiode = 60±5 Ω. The maximum voltage on the oscilloscopeis thus reduced to 0.32±0.03 V, giving a maximum current from the diode of 6.4±0.6 mA.This calculated value is consistent with the maximum current measured. If the photon-induced charge production rate exceeds the value of 6.4 mC/s, a charge build-up takesplace, which causes enhanced recombination in the diode. At 9 V external bias voltage,

Page 42: Characterization of XUV sources

3.5 · Extrapolation to other wavelength bands 31

the maximum current was calculated to increase to 88 mA at a maximum voltage of 4.4 Von the oscilloscope.

3.5 Extrapolation to other wavelength bands

Experimental verification of the pulsed calibration of the diode sensitivity at other wave-lengths than the visible range, as applied in this work, would require an equally brightDC light source at the wavelength of interest. Especially in the EUV and soft X-raybands, these are not readily available. However, an analysis of the diode compositionand response does allow a reliable extrapolation, especially into the EUV and soft X-rayrange. For such an extrapolation, the place and mechanism of charge generation is ofimportance. Being the most abundant atom in the diode, by 4 − 8 orders of magnitude,absorption will primarily take place in Si. Electron-hole generation at photon energiesbelow 3.63 eV, the Si band gap energy, occurs by an indirect transition from the valenceband to the conduction band, while energies above 3.63 eV cause a direct transition. Atroom temperature, phonons increase the cross-section for indirect transition. Taking thephoton absorption lengths in Si into account, both types of transitions are estimated totake place on a sub-ps time scale, which is much faster than the pulses used for calibration.

Figure 3.10: Attenuation length for radiation in Si [64, 65]. The dashed lines indicate theboundaries of the different layers. The dark band indicates the location of the depletionzone without reverse bias, while the light grey band indicates the increase of its size dueto a reverse bias of 9 V. Indicated also are the wavelengths of the lasers used, at 532 and633 nm.

Fig. 3.10 shows the absorption length in Si for the wavelength range between 1 and1000 nm. Indicated are the different dopant layers in the diode, as well as the locationof the depletion zone. The size of this layer depends on the voltage of the reverse biasapplied: without bias it stretches roughly from a depth of 150 nm to 3 µm, while a reverse

Page 43: Characterization of XUV sources

32 Chapter 3 · Linearity of P-N junction photodiodes under pulsed irradiation

bias of 9 V extends the layer to a depth of 11 µm. From Fig. 3.10 it follows that thelargest fraction of he charge is deposited in the depletion zone for three photon energybands: the extreme ultraviolet (EUV) range from 12.4 to 30 nm, the soft X-ray rangefrom 1 to 3 nm, as well as the visible range. The electric field in the depletion zoneenables fast removal of the charge. Based on the similarity of the absorption length forthese three ranges, a similar dependence of the sensitivity on the pulse length can beexpected as in the visible range. For other wavelengths, a part of the incident energy isdeposited in field-free regions of the diode, making the slower electron and hole diffusionthe dominant process. In the vacuum ultraviolet, the deposition of the energy close to thesurface could give rise to increased recombination. The photon absorption process itselfshould not influence the pulse duration dependence of the sensitivity in the range of pulselengths investigated.

3.6 Conclusion

The sensitivity of a P-N junction photodiode has been studied under pulsed irradiationfor various pulse lengths and intensities. A novel method was used to generate pulses froma DC light source, with a well-known pulse shape and length which is directly calibratedfor all pulse lengths. For an unbiased diode exposed to an incident power of 1.6 mW,those found at the lower end of the investigated range in power levels, the temporal andintensity response was found to be independent of the pulse length in the range from3 µs to 1.2 ms, the maximum pulse length investigated. At pulse lengths below 3 µsthe collected charge remained linear with incident photon intensity, although the shapeof the light pulse was no longer correctly reproduced. A reverse bias voltage improvedthe response time of the diode: the pulse response time decreased by a factor of 3. Ata pulse length longer than 5 µs the bias electronics introduced a low frequency cut-offcorresponding to the calculated cut-off by the bias electronics. This frequency cut-off canbe shifted for a specific application by optimization of the bias electronics.

At power levels higher than 12 mW the unbiased diode showed a strong decrease inpeak current and a stretching of the pulse length. This effect is caused by saturationof the diode current. At these higher illumination levels, a charge was built up in thediode, inducing enhanced electron-hole recombination. At an incident power of 118 mWthe diode was fully saturated for a pulse length of 11 µs, and electron-hole recombinationsignificantly reduced the effective sensitivity of the diode. On the other hand, the biaseddiode showed no saturation effects up to the highest power of 118 mW. At this powerlevel <2% of the charge was lost due to the frequency cut-off of the bias electronics up topulse lengths of 5 µs.

In summary, the sensitivity of the AXUV-100 photodiode remained constant as afunction of the pulse length at radiation intensities up to 12 mW. The diode saturated athigher intensities, causing a decrease in collected charge per incident photon. Saturationwas prevented by applying a reverse bias voltage of 9 V, although the characteristics ofthe bias electronics caused a loss of charge at pulse lengths longer than 5 µs.

Page 44: Characterization of XUV sources

3.7 · Acknowledgements 33

3.7 Acknowledgements

We acknowledge the assistance of Giel Berden with the laser facilities of the Felix group,and thank Frank Scholze (PTB, Berlin ) and Raj Korde (IRD, Torrance, CA ) for the dis-cussions regarding results and explanations. This research was part of the programme ofFOM, the Dutch organization for Fundamental Research on Matter, and was sponsored bySTW (Technology Foundation) in The Netherlands and the EC funded INCO-Copernicusprogramme.

Page 45: Characterization of XUV sources
Page 46: Characterization of XUV sources

Chapter 4

Absolute calibration of a multilayerbased XUV calibration setup

4.1 Abstract

A portable, universal narrow-band XUV diagnostic suitable for calibration of various XUVlight sources, was built, tested and fully calibrated. The diagnostic allows measurementof the absolute XUV energy and average power in two selected wavelength bands. Inaddition, the pulse-to-pulse and long term XUV stability of the source can be assessed,as well as the contamination of multilayer XUV optics exposed to the source. This paperdescribes the full calibration procedure: all optical elements were calibrated at the wave-length of operation by Physikalisch-Technische Bundesanstalt at the storage ring Bessy II,a full analysis of geometrical factors was done, and the influence of the spectral emissivityof the source on the calibration was analyzed in detail. The calibration was performedboth for the centre wavelength as for the full bandwidth of the diagnostic. The total un-certainty in the absolute calibration allowed measurement of source characteristics withan uncertainty of less than 5%, and a shot-to-shot repeatability to less than 2%. The un-certainty was mainly determined by external factors like background gas distribution andspectral source characteristics. Examples of application to a laser plasma and dischargeplasma XUV source are given.

4.2 Introduction

At present, a variety of XUV sources are available for scientific and industrial applicationsrequiring XUV radiation, i.e. in the wavelength band from about several tenths to severaltens of nanometres. These range from materials analysis techniques like X-Ray Fluo-rescence Analysis to high resolution imaging techniques, such as X-Ray Microscopy andExtreme UV Lithography. In the last few years different new source concepts have beendeveloped, such as the“Astron” concept [66] and XUV free electron lasers [67], while exist-ing sources, like laser produced plasmas and z-pinch devices [68, 69] have drastically beenimproved. Each source concept usually possesses its specific radiation characteristics con-cerning intensity, spectral and spatial distribution, and repetition rate, and each concepthas its particular non-radiation related effects, like particle, or debris emission [70] andEM-field production. Different measurement techniques exist to determine the emission of

35

Page 47: Characterization of XUV sources

36 Chapter 4 · Absolute calibration of a multilayer based XUV calibration setup

these XUV sources, each having their specific advantages and disadvantages. These tech-niques range from detection by simple filtered diodes, calorimeters [16], CCD and streakcameras [71], via spectroscopy using reflection and transmission gratings [72, 32, 73], tocryogenic detectors like superconducting tunnel junctions [74] and cryogenic radiometers[57].

The advantage of the classical transmission grating or grazing incidence reflectiongrating spectrograph is its possibility to measure the spectral distribution of radiation,in certain configurations at high resolving power. Rapid data acquisition, though, isusually not straightforward, and the absolute calibration of a grating spectrograph istime consuming and spectrally sensitive to, e.g., contamination effects. An alternative, theradiation calorimeter, can be calibrated quite easily, is inexpensive, but lacks an inherentwavelength selectivity. Using a band-pass filter, the wavelength probed can be limited,yet this yields average values of the energy only. In addition, in the case of high repetitionsources, the time response of tens of microseconds can be a limitation in uncertainty, whilethe ability to measure pulse-to-pulse stability is also lost. The cryogenic radiometer, asused at PTB as primary detector standard for the calibration of reference detectors likephotodiodes, shows basically the same disadvantages as the calorimeter for the calibrationof broad-band sources. Additionally, due to the low-temperature operation, it is muchharder to implement as a portable standard.

When compared at the same XUV source, the different diagnostics may show consid-erably different numbers of the source characteristics measured. This severely hinders afair comparison of the performance of sources developed at different locations. We se-lected and further developed a calibration diagnostic based on a multilayer XUV mirror,as being the most straightforward to calibrate and the most reproducible in its use atdifferent kinds of sources. The diagnostic described consists of a combination of a mul-tilayer mirror, a filter and a photodiode detector. The mirror serves to select a narrowwavelength band, typically between 1 and 5%, while the filter stops any radiation outsidethis band. The diode usually enables time resolved, as well as measurements at highsource repetition rates. Each element can be separately, and straightforwardly calibrated,or replaced in case of contamination or damage. If used at normal incidence geometries,possible artefacts due to polarization can be excluded. The diagnostic was used in a sourcebench-marking effort of candidate sources for extreme ultraviolet lithography (EUVL), anactivity that became known as Flying Circus (FC) [53]. EUVL is a most demanding ap-plication in the XUV wavelength range primarily in terms of the markedly high XUVpower required, the source stability and the virtual absence of any contamination [20].Two examples of application of the diagnostic, to a laser plasma and a discharge plasmaXUV source are given. Since these sources were developed for EUVL, the wavelength ofoperation of the diagnostic was 13.4 and 11.4 nm.

This paper describes the experimental setup of the XUV diagnostic, the calibration andcalibration uncertainty of its various components as well as the diagnostic as a whole. Thisprocedure is done both for in-band and for broad band radiation outside the responsivityband of the system.

Page 48: Characterization of XUV sources

4.3 · Setup 37

4.3 Setup

The XUV calibration diagnostic consists of two wavelength channels, which each consistof a curved multilayer optic, a matched filter, and a semiconductor, silicon n-on-p photo-diode. The measurement scheme is shown in Fig. 4.1. The multilayer is used to select a

Figure 4.1: Measurement scheme of one of the channels of the XUV calibration diagnostic.The full diagnostics contains two independent channels, which can be tuned to pre-selectedwavelength bands.

narrow wavelength band, of which the centre position is determined by the d-spacing ofthe multilayer system. The multilayer mirrors are produced in-house [75], and are, whencomposed of Mo/Si systems and used in normal incidence geometry, available for thewavelength band from 12.4 to ∼60 nm. Other bands require different multilayer systems,for example, Mo/Be. The Mo/Be mirrors used in this work at 11.4 nm, were producedat LLNL [76]. The combination of the multilayer with a filter eliminates uncertaintiesdue to spectral contributions from different wavelength bands. The method applied hasthe added advantage of collimating the radiation onto the filtered detector, contributingto an improved signal-to-noise ratio or a greater working distance and less sensitivity tocontamination. The advantage over optics used at angles around 45 is the absence ofpolarization effects by the multilayer reflection. The individual optical components havebeen calibrated separately with low uncertainty at the SX-700 reflectometry beam-line ofPTB at BESSY II [77]. Depending on the source geometry available, the unit can eitherbe used in a separate vacuum chamber or mounted in an existing source vacuum chamber.

4.4 Calibration of individual elements

4.4.1 Oscilloscope and signal integration

A 1 GHz storage oscilloscope was used (Tektronix TDS 680B), for which the calibrationwas used as provided by the manufacturer.1 The uncertainty in the oscilloscope gain was1.5% within the signal range used. The uncertainty in signal readout by the scope’s A/Dconverter was 1.5% for each data point. For the integration of the signal, more than1000 time-samples were used, reducing any additional uncertainty in integration to lessthan 0.1%. The internal resistance of the scope, Rscope, was verified to be (50.0±0.2) Ω.The signal integration was performed by full integration of the signal collected, withsubtraction of a small offset. This offset, mainly caused by the dark current in the

1Tektronix Technical Reference 070-9384-01

Page 49: Characterization of XUV sources

38 Chapter 4 · Absolute calibration of a multilayer based XUV calibration setup

photodiode, was less than 5 mV in all cases. The uncertainty in the numerical integrationof the signal, mainly determined by the manually set integration limits, was determinedby integration of the signal of a blinded photodiode. This uncertainty was found to be1.0%.

4.4.2 Bias electronics

A small reverse bias voltage was used to decrease the response time of the photodiode,leading to an improved responsivity and signal-to-noise ratio. The bias supply electronicsalso act as a low frequency cut-off filter, separating the high-frequency photodiode signalfrom the DC bias voltage. Due to this frequency filtering, a small fraction of the chargegenerated in the diode is lost in the bias electronics [78] (Fig. 4.2). This charge transferefficiency of the bias electronics, ηbias has a value of 0.99±0.02.

Figure 4.2: Measurement schematics for biased operation of the photodiode. The signalis transported via the bias electronics to a storage oscilloscope.

4.4.3 Photodiode

Calibration of the AXUV-100 photodiodes at PTB [79] was done by comparing the diodesto a PTB reference diode with known responsivity, resulting in a 2% uncertainty. A typicaldiode responsivity is shown in Fig. 4.3, plotted as a function of the wavelength. The re-sponsivity, ηdiode, of the diode was (0.257±0.005) A/W at 13.4 nm and (0.239±0.005) A/Wat 11.4 nm. The radiation hardness of the diodes was determined by a prolonged exposureto XUV radiation at a wavelength of 9.5 nm [80]. No change in responsivity was foundup to about 10 mJ/mm2. At 9.5 nm, just below the Si-L-edge, the absorption of thetop oxide layer is maximal, causing a high sensitivity to surface damage. Under typicalusage conditions, i.e. during source calibration, the total XUV dose on the diodes was

Page 50: Characterization of XUV sources

4.4 · Calibration of individual elements 39

less than 5 mJ, in a spot of ∼1×1 mm2, giving a decrease in efficiency of less than 1%,when assuming a linear decrease in responsivity with incident energy.

Figure 4.3: AXUV-100 photodiode spectral responsivity.

The photodiode was calibrated at normal incidence and used at 2 off normal. Thedifference in response resulting from this is less than 10−5 and is therefore neglected. Toavoid saturation at high power levels, the photodiode was positioned slightly away fromthe focus of the multilayer mirror. The smallest spot on the diode was 0.5 mm diameter.The maximum incident power density in this case was 10 W/cm2, well below the thresholdvalue for saturation, which was measured to be at 60 W/cm2 [78].

4.4.4 Filter

Two types of filters were used. A 3×3 mm2 Nb filter of 200 nm thickness on a substrateof 100 nm of Si3N4 was used for measurements at 13.4 nm. For measurements at 11.4 nm,a 212 nm thick Zr filter supported by a 70 lpi Ni mesh of 40 µm wires was used (meshtransmission 79%). Both filters were calibrated by PTB. The transmission, Tfilter, was0.173±0.003 for the Si3N4/Nb filter at 13.4 nm and 0.319±0.005 for the Zr filter includingmesh at 11.4 nm. An additional uncertainty caused by the mesh of the Zr filter wasestimated to be 5% (described below). The transmission of the two filters is shown inFig. 4.4 and Fig. 4.5 and is in reasonable agreement with a calculation using absorptiondata published at the “Center for X-ray Optics”-website [64] (solid curve of Fig 4.4 and4.5). The transmission of the Si3N4 filter was calculated from the absorption coefficientsof Si and N separately, in the ratio of the compound. The difference with the measureddata around the Si-L-edge at 12.4 nm is believed to be caused by the formation of Si-Nbonds. These slightly influence the inner shell energy levels of Si and cause the L edge toshift by 0.47 nm, or 3.9 eV (Fig. 4.4) [81, 82].

Fig. 4.6 and Fig. 4.7 show the variation of the transmission of the filters acrossthe surface. It is noted that the intensity scales are not at the same relative units.

Page 51: Characterization of XUV sources

40 Chapter 4 · Absolute calibration of a multilayer based XUV calibration setup

Figure 4.4: The transmission of the Si3N4/Nb filter. The points indicate the measureddata from PTB, while the line denotes the simulated transmission taking a two-elementcomposition.

Figure 4.5: The transmission of the 212 nm Zr filter. The points indicate the measureddata from and the line the simulated transmission, including the transmission of the mesh.

The Si3N4/Nb filter had a transmission homogeneity within 0.5% over the full surfaceof 3×3 mm2 used. The image of the source was aligned to the centre of the Si3N4/Nbfilter. The maximum measurable source size was determined by the dimensions of thefilter and the demagnification of the optics. In our examples, the source was imaged onthe 3×3 mm2 filter using a demagnification of 4×.

The Zr filter (Fig. 4.8) showed a large fluctuation in transmission with a regular

Page 52: Characterization of XUV sources

4.4 · Calibration of individual elements 41

Figure 4.6: Spatial distribution of the transmission of the Si3N4/Nb filter using a 600 µmprobe beam at 13.51 nm.

Figure 4.7: Transmission of the Zr filter using a 300 µm probe beam at 13.51 nm.

pattern, which was determined by interference between the 300 µm wide probe beamand the supporting grid having a lattice spacing of 360 µm. By defocusing the spoton the filter this effect could be reduced. In Fig. 4.8 the ratio is plotted between thecalculated minimum and maximum yield in the case of a circular Gaussian spot. In thesame figure the sizes of the largest and smallest sources are indicated when focused onthe filter. The alignment can be optimized for maximum transmission, and as long asthe variations in the position of the image of the source are much smaller than the gridspacing, the uncertainty is reduced to the uncertainty in the positional stability. Due tothe uncertainty introduced by the mesh, an underestimation of the source intensity of lessthan 1% was expected for a spot size at the filter of less than 1.1 mm diameter.

4.4.5 Multilayer mirror

Wavelength selection was done by the multilayer mirror having a predefined centre wave-length. For 13.4 nm a Mo/Si mirror was used consisting of 50 bi-layers of Mo/Si madeby FOM [75, 83]. The Mo/Be mirrors for 11.4 nm were produced at LLNL [76]. Bothmirrors were calibrated at the PTB facility before (Fig. 4.9) and after the source mea-

Page 53: Characterization of XUV sources

42 Chapter 4 · Absolute calibration of a multilayer based XUV calibration setup

Figure 4.8: Minimum (a) and maximum (b) and average (c) transmission of the meshon the Zr filter and the maximum relative uncertainty (d) introduced by the mesh as afunction of the – de-magnified – source size. Vertical lines indicate the largest source (1,Z-pinch) and the smallest source (2, LPP) investigated.

Figure 4.9: Mo/Be (a) and Mo/Si (b) multilayer reflectivity curves.

surements. In practice, mirror degradation during different source calibration runs weretaken into account by taking a clean spot for each run. The peak reflectivity of the Mo/Simirror, Rmirror, was found to be 0.682±0.002, with a FWHM bandwidth (BW), δmirror, of(0.530±0.007) nm or (3.96±0.05)%. The Mo/Be had a peak reflectivity of 0.628±0.002,with a FWHM bandwidth of (0.301±0.006) nm or (2.65±0.05)%.

Page 54: Characterization of XUV sources

4.4 · Calibration of individual elements 43

In practice, the mirrors were measured at an angle of 1.5 off-normal and used at2. The difference in reflectivity, centre wavelength and bandwidth due to the minorincrease of the incident angle was less than 0.1% and was corrected for. The mirrors arethe elements most sensitive to contamination. Depending on the source, a decrease inreflectivity of up to 9% was observed, although the source of the contamination was notdetermined. The contamination was build up during long term exposures; short termexposures gave a decrease of less than 1% in reflectivity.

Alignment of the system to the source was performed by adjusting the mirror angle,with a maximum deviation of 0.3. The change in incident angle lead to a maximumvariation in throughput of <0.2% and is negligible compared to other uncertainties.

4.4.6 Diaphragm and solid angle

A circular diaphragm was fitted on the multilayer mirror to reduce the incident radiationintensity and to use a well defined area on the mirror. The diameter of the diaphragm wasmeasured using an optical microscope with an uncertainty of 10 µm. The solid angle, inwhich radiation was accepted, was then calculated using also the source-mirror distance.

Figure 4.10: Reduced effective aperture due to the slightly off-normal angle of the incidentradiation.

The angle of the XUV light incident on the mirror-diaphragm combination was slightlyoff-normal (2), causing a reduction of the effective aperture(Fig. 4.10). The effectiveaperture area is given by

Aeff =1

4πR(R cos(ϕ) − 2d sin(ϕ)), (4.1)

with d the distance between mirror and diaphragm, R the size of the diaphragm and ϕthe angle of incidence on the mirror. The typical value of d was 0.40 mm , while thediaphragm size, R, was (3.82±0.01) mm. With an angle of incidence of 2.0 off-normal,the correction was 0.8% and the effective area was 11.4 mm2. The mirrors typically werelocated at a distance of (116.5±0.5) cm from the source. The effective solid angle, Ω,captured by the mirror was (8.40±0.05) µsr.

Page 55: Characterization of XUV sources

44 Chapter 4 · Absolute calibration of a multilayer based XUV calibration setup

4.4.7 Gas transmission

In the case of EUVL, the plasma sources are usually generated using Xe as target gas.This may result in a low-pressure background of Xe in the vacuum chamber and a partialabsorption of the XUV radiation. In order to obtain the value of the XUV intensity nearthe source, a correction was applied for the gas absorption, assuming an average pressurein the system. The transmission was determined by measuring the gas pressure in thesystem and calculating the transmission, taking data from the CXRO website [64]. Forthe two example sources characterized using this diagnostic, the pressure was in the rangefrom 0.3 to 6 mTorr. This pressure was measured close to the source at a distance takento be representative for the full optical path length between source and detector. Thetotal path length through Xe was (144.0±0.5) cm. Fig. 4.11 shows the Xe transmissionfor these conditions; the value, Tgas, at both 13.4 and 11.4 nm was 0.79±0.03.

Figure 4.11: Calculated Xe gas transmission for a pressure of 2 mTorr at a path lengthof 144 cm. Indicated are the two wavelengths investigated, 11.4 and 13.4 nm. Theuncertainty is mainly caused by the uncertainty in the gas pressure.

4.5 Single wavelength calibration

Starting from the calibration of the separate components, described in the previous sec-tion, the overall response and calibration uncertainty of the full XUV diagnostic can becalculated. For an initial approximation, this can be calculated for a single wavelength,i.e., the centre wavelength of the multilayer mirror. This approximation is valid as longas the spectral variation of the calibration of the individual elements is negligible.

The overall source energy, described in the Appendix, is given by

Esource =2π

ΩδmirrorTgasRmirrorTfilterηbiasηdiodeRscope

Ascope, (4.2)

Page 56: Characterization of XUV sources

4.6 · Extended wavelength band calibration 45

11.4 nm 13.4 nmParameter Variable Unit Value σ (%) Value σ (%)Integrated scope signal Ascope nVs 0.1 - 100 1.5 0.1 - 100 1.5Resistance oscilloscope Rscope Ω 50.0 0.4 50.0 0.4Efficiency bias electronics ηbias 0.99 2.0 0.99 2.0Photodiode efficiency ηdiode A/W 0.239 2.0 0.257 2.0Filter (Zr) Tfilter 0.319 1.6 N/A N/AFilter transmission (Si3N4/Nb) Tfilter N/A N/A 0.173 1.7Filter homogeneity σfilter 1.0 5.0 1.0 0.5Mirror reflectivity (Mo/Be) Rmirror 0.628 0.25 N/A N/AMirror reflectivity (Mo/Si) Rmirror N/A N/A 0.682 0.25Solid Angle Ω µsr 8.40 0.6 8.40 0.6Gas Transmission Tgas 0.79 3.8 0.79 3.8Mirror bandwidth (Mo/Be) δmirror % 2.65 0.05 N/A N/AMirror bandwidth (Mo/Si) δmirror % N/A N/A 3.96 0.05

Table 4.1: Overview of the separate calibration factors for 11.4 and 13.4 nm, includingerror margins in the measurement (σ). The gas transmission was based on 0.288 Torr cmin the optical path.

with the mirror bandwidth, δmirror, given in relative units of % from the centre wave-length of the mirror. Esource is the energy emitted by the source, obtained in units ofJ/(%BW 2π sr), with Ascope the integrated voltage on the scope determined by

Ascope =

(V (t) − offset)dt, (4.3)

with Ω the acceptance angle of the diagnostic, Tgas and Tfilter the transmission of the gasand filter respectively, Rmirror the mirror reflectivity, ηbias and ηdiode the efficiency of thebias electronics and diode, and Rscope the internal resistance of the oscilloscope (see alsosection 4.4). An overview of all calibration factors for 11.4 and 13.4 nm is shown in Table4.1.

Combining all values, found for the individual calibrations, in Eq. 4.2, results inthe value of the overall calibration of the XUV diagnostic. At 13.4 nm the energy ofthe source for a certain, measured oscilloscope signal, Ascope, is then given by Esource =(0.159±0.008) Ascope mJ/(% BW 2π sr), while at 11.4 nm, the XUV energy is given byEsource = (0.151±0.015) Ascope mJ/(% BW 2π sr), in both cases with Ascope in units ofnVs. The total uncertainty of 5% for 13.4 nm is mainly determined by the uncertaintyin gas transmission. The 7% total uncertainty at 11.4 nm is mainly determined by theuncertainty (5%) in the transmission of the mesh on the Zr filter.

4.6 Extended wavelength band calibration

The single wavelength calibration (Section 4.5), should be extended to include spectralvariations of the responsivity of the setup and of the source intensity. Especially the latergenerally shows strong variations as a function of the wavelength. For more accuratedetermination of the source intensity, the photodiode measurements should also be com-bined with spectral characteristics of the source. As an example, spectra for two typesof sources measured with the diagnostic and the overall responsivity of the diagnostic aregiven in Fig. 4.12. The “in-band energy” is defined as the amount of energy that can be

Page 57: Characterization of XUV sources

46 Chapter 4 · Absolute calibration of a multilayer based XUV calibration setup

Figure 4.12: Examples of spectra of two sources measured: the spectrum of an LPP (a)and the spectrum of a Z-pinch (b). Shown is also the overall responsivity curve of theXUV calibration diagnostic (c).

collected by a mirror and is determined by the wavelength dependent reflectivity of themultilayer mirror:

Ein−band =

I0Is(λ)Rmirror(λ)dλ

Rmirror(λmax), (4.4)

with the relative spectral intensity of the source as measured by a spectrograph in arbitraryunits Is(λ) and Rmirror the reflectivity of a multilayer mirror. I0 is the normalization factorfrom the relative spectral intensity as obtained with the spectrograph to the absolute scale.Ein−band thus represents the spectral radiant power of the source weighted by the mirrorbandwidth. The normalization factor I0 is obtained by rewriting Eq. 4.2, with the mirrorbandwidth being replaced by the integration over wavelength of the reflectivity:

Ascope =

I0Is(λ)

2πΩTgas(λ)Rmirror(λ)Tfilter(λ)ηbiasηdiode(λ)Rscopedλ. (4.5)

I0 can now be readily calculated:

I0 =2π

ΩRscope

Is(λ)Tgas(λ)Rmirror(λ)Tfilter(λ)ηbiasηdiode(λ)dλAscope. (4.6)

The single mirror in-band intensity of the source is now calculated by combining Eqs. 4.4and 4.6 into

Ein−band = CAscope, (4.7)

with

C =2π

ΩRscope

Is(λ)Tgas(λ)Rmirror(λ)Tfilter(λ)ηbiasηdiode(λ)dλ

Is(λ)Rmirror(λ)dλ

Rmirror(λmax), (4.8)

Page 58: Characterization of XUV sources

4.6 · Extended wavelength band calibration 47

singlepoint White spectrum Z-pinch LPP

calibration spectrum spectrum spectrum1 Mirror 0.623 0.681 0.637 0.6624 Mirrors 0.428 0.351 0.415 0.3727 Mirrors 0.349 0.291 0.353 0.31511 Mirrors 0.291 0.247 0.304 0.2691% bandwidth 0.159 0.136 0.175 0.1532% bandwidth 0.317 0.265 0.339 0.293

Table 4.2: The calibration factors for the XUV diagnostic for two different sources anddesired bandwidths for the 13.4 nm detection channel.

The factor C now includes the full wavelength dependent calibration of the XUV cali-bration tool. The emission in a 1% bandwidth is calculated by choosing the integrationrange in the second term of Eq. 4.8 to a 1% band around the wavelength of interest andsetting Rmirror in the second term equal to 1.2 For a white spectrum in wavelength, givenby Is(λ) = constant, C equals 0.136 mJ/(nVs %BW 2π sr) for the emission in a band-width of 1%. This value should be compared to the 0.159 mJ/(nVs %BW 2π sr) found insection 4.5 for a single point calibration. The product of peak reflectance and bandwidth,with bandwidth defined as FWHM, is substantially lower than the integrated reflectanceof the multilayer mirror, causing the deviation between single point calibration and fullwavelength calibration.

4.6.1 Multiple-mirror systems

In most applications knowledge is desired on the emission in a specific bandwidth, forexample that of a multiple-mirror system such as in EUVL. The constant C in Eq. 4.7can easily be rewritten to calculate that in-band energy, from the measured oscilloscopesignal. Rewriting Eq. 4.8 to the generalized, multiple-mirror form leads to

Cn =2π

ΩRscope

Is(λ)Tgas(λ)Rmirror(λ)Tfilter(λ)ηbiasηdiode(λ)dλ

Is(λ)Rnmirror(λ)dλ

Rnmirror(λmax)

, (4.9)

Cn now gives the full wavelength dependent calibration for an n-mirror system. The val-ues found for Cn using the XUV calibration diagnostic is given in Table 2 for a numberof bandwidths and (hypothetical) sources (13.4 nm), in units of mJ/(nVs %BW 2π sr).The second column gives the single point calibration, with the 1% value equal to thevalue found at the end of Section 4.5.The peaked structure of the Z-pinch spectrum, andto a lesser extent the LPP spectrum, have a relatively larger fraction of the energy ina narrower band around the central wavelength, which is reflected in a larger value ofthe calibration. Neglecting the spectral correction can lead to an additional uncertaintybetween 1.1 and 16.9% depending on the source and the desired bandwidth. The uncer-tainty due to spectral instabilities has only played a minor role: the shot-to-shot variationof the calibration factor for a single spectrum was less than 0.7%.

2An equivalent definition, for 2% bandwidth, is used in EUVL for the throughput of future lithographicmulti-mirror systems.

Page 59: Characterization of XUV sources

48 Chapter 4 · Absolute calibration of a multilayer based XUV calibration setup

4.7 Out-of-band radiation

The calibration of (the elements of) the XUV calibration diagnostic cannot be limitedto the direct range in wavelength, since radiation might also be transmitted from bandsoutside the calibrated range. Such contributions can be separated in two regimes.

4.7.1 Limited XUV calibration range

The bandwidth of the XUV calibration diagnostic is mainly given by the reflectivitycharacteristics of the multilayer mirror. Outside this bandwidth the responsivity rapidlydrops. Side wiggles of the multilayer reflectivity can cause a relatively large contributionby out-of-band radiation. Fig. 4.13 shows the fraction of out-of-integration-band EUVpower with respect to the total EUV power, when integrating over a limited range inwavelength. The figure shows the ratio for the sources investigated, as well as the totalspectral responsivity of the setup. For the source calibration at 13.4 nm and a wave-length independent spectrum, 99.5% of the collected energy is originating from withinthe wavelength range from 12.5 − 15.0 nm, assuming a symmetrical distribution of out-of-integration band radiation. The Z-pinch spectrum is more peaked around 13.4 nm,allowing for a narrower calibration range for the same uncertainty. The LPP has an in-tense spectral feature near 11 nm. A slight extension of the calibration range to shorterwavelengths (12.0 nm) was needed to obtain an uncertainty of 99.5%. The assumptionthat all radiation originates from this limited wavelength range gives an overestimationof the XUV yield up to (0.5±0.25)% for the sources under investigation.

Figure 4.13: The fraction of out-of-integration-band EUV power with respect to the totalEUV power when integrating over a limited wavelength range for three different sources:a) Z-pinch, b) LPP and c) a hypothetical source with a wavelength-independent spectralintensity. Curve d) indicates the spectral responsivity of the XUV calibration diagnostic.Also indicated are the lower (left) and upper (right) 0.25% level of the integration for thevarious sources.

Page 60: Characterization of XUV sources

4.8 · Conclusion 49

4.7.2 VUV/Vis/IR light

The second type of out-of-band radiation is the radiation detected in the VUV, the visibleand infrared regions. The photodiode is sensitive for the wavelength range between 1 µmand 1 nm. Obviously, for a proper XUV measurement, the photodiode must be shieldedfrom this radiation. Most non-XUV radiation is blocked by the filter and mirror combina-tion. Fig. 4.14 shows the transmission of the filters and background gas, the reflectivityof the multilayer mirror and the responsivity of the photodiode used in the calibrationdiagnostic from visible up to X-rays. The response curves of the various elements werecalculated from [64, 65]. Between 1 and 100 nm and above 240 nm the calculations werefitted to experimental data3 [9, 84]. The mirror-filter combination thus effectively confines

Figure 4.14: Xe gas transmission(a), AXUV-100 photodiode responsivity(b), Si3N4/Nbfilter transmissions(c) and Mo/Si multilayer mirror reflectivity (d) for the wavelengthrange from 1 to 1000 nm, for the 13.4 nm channel.

the wavelength range under investigation. Fig. 4.15 shows the total system responsivityfor the case of a single and double visible light blocking filter. When using one filter, theresponsivity outside the multilayer mirror bandwidth does not exceed a factor of 10−4 ofthe responsivity within the mirror bandwidth. The influence of the out-of-band radiationcontribution was tested for the two example sources by comparing data taken with none,one and two filters. The second filter suppressed the visible light and other out-of-bandradiation by a factor of 10−8.

4.8 Conclusion

A universal, narrow bandwidth, XUV calibration diagnostic is discussed, and a compre-hensive description is given of the calibration procedure and the uncertainty analysis. The

3The calibration of a similar photodiode (AXUV-16ELO) was preformed at the Optical MetrologyLaboratory at ALS, Berkeley, Ca, by the manufacturer, IRD

Page 61: Characterization of XUV sources

50 Chapter 4 · Absolute calibration of a multilayer based XUV calibration setup

Figure 4.15: The total system responsivity for the 13.4 nm channel as function of thewavelength for a single visible light blocking filter (solid line) and a double filter (dashedline).

diagnostic allows calibration of source properties like absolute in-band XUV intensity andaverage power, pulse-to-pulse and long term XUV intensity stability and contaminationand multilayer lifetime.

Individual optical elements of the setup were calibrated at the operational wavelength,each with an uncertainty of less than 2%. The overall uncertainty of the XUV diagnos-tic was less than 5% at 13.4 nm and less than 7% at 11.4 nm. At 13.4 nm the overalluncertainty was mainly determined by the background gas distribution (3.8%), while at11.4 nm, a filter grid caused an additional uncertainty of 5%. For short term measure-ments, when gas pressure variation and mirror contamination do not play a role, therepeatability is better than 2%. In practical experience, the uncertainty of the individ-ual alignments is well within these accuracies, which confirms the claimed advantage ofportability.

Depending on the final application, spectral features of the source within the band-width of the mirror may give rise to an additional uncertainty. A full evaluation, includingthe recalculation to systems with multiple mirrors, is given. In the case of application toEUVL, this uncertainty remains below 1% assuming knowledge on the relative spectraldistribution of the source intensity.

4.9 Acknowledgements

The authors thank Henri Fledderus for the technical support, Rene de Bruijn for assistancein testing the system and the coating group (Eric Louis, Edward Maas, Marc Kessels, andSebastian Oestreich) for producing the optics. We also acknowledge Claude Montcalmand Jim Folta (LLNL) for supplying the Mo/Be multilayer optics. The Nb filters weredeposited by Marcel Bruijn of SRON, Utrecht.

Page 62: Characterization of XUV sources

4.10 · Appendix: Calculation of the XUV yield 51

4.10 Appendix: Calculation of the XUV yield

The XUV yield of the source was calculated starting from the measured signal, tracingthe signal back to the source. The XUV pulse detected by the photodiode was registeredon a storage oscilloscope. After subtraction of the dark current of the photodiode theintegrated charge Qscope is found by

Qscope =

(V (t) − offset) dt

Rscope

, (4.10)

with Rscope the internal resistance of the oscilloscope. The total energy collected by thephotodiode, Ediode, is then calculated by

Ediode =Qscope

ηbiasηdiode

, (4.11)

with ηbias the efficiency of charge transfer of the bias electronics and ηdiode the respon-sivity of the photodiode. The responsivity includes the reflectivity on the surface of thephotodiode. Assuming that all the radiation reflected by the mirror reached the filterarea, then the total energy falling on the multilayer mirror on the open area, and in themirror bandwidth is determined by

Emirror =Ediode

TfilterRmirror

, (4.12)

with Tfilter the filter transmission and Rmirror the mirror reflectivity. In the solid anglegiven by the diaphragm on the mirror at a certain distance from the source, the energyemitted by the source is calculated. The power emitted by the source, per 2π solid angle,per bandwidth, corrected for the gas transmission is calculated by

Esource =2πEmirror

ΩδmirrorTgas

, (4.13)

with δmirror the bandwidth at FWHM of the mirror (%), Tgas the gas transmission and Ωthe solid angle determined by

Ω =Aeff

L2, (4.14)

with Aeff the diaphragm area corrected for the deviation of the incident angle on the mirrorfrom normal incidence and L the distance from the mirror to the source. The value ofEsource is equal to the real energy emitted in a half sphere by the source in the case of anisotropic emitter. For other sources the angular distribution needs to be determined andthe factor of 2π to be replaced by the appropriate factor.

The ratio between the net integrated area scope signal and the energy emitted by thesource is given by equations (4.10-4.14). The value of the total emitted energy becomes:

Esource =2π

ΩδmirrorTgasRmirrorTfilterηbiasηdiodeRscope

Ascope, (4.15)

with Ascope the integrated signal on the scope determined by

Ascope =

(V (t) − offset) dt, (4.16)

with Esource in units of J/(%Bandwidth 2π sr).The bandwidth is given as a percentage ofthe centre wavelength of the mirror and is therefore without dimension.

Page 63: Characterization of XUV sources
Page 64: Characterization of XUV sources

Chapter 5

Flying Circus EUV sourcecomparison: absolute yield, yieldfluctuations and contamination

5.1 Abstract

A portable, narrow-band XUV diagnostic (Chapter 4, [85]) has been applied in a sourcebench-marking effort for Extreme UV Lithography (EUVL). Data are reported on fivedifferent EUV light sources, each of a different source concept.

5.2 Introduction

In parallel to the development of EUV lithography (EUVL), world-wide a number of EUVlight sources are rapidly being developed for this application. The variety of the conceptsused for these sources, namely different discharge and laser plasma excitation schemes,and the urge for the lithography industry to narrow down these source developmentoptions, has imposed a need for a global source bench-marking activity. A number ofsource prototypes were thus characterized to investigate their suitability as a light sourcefor an EUV prototype wafer stepper. This report represents an overview of the phase inthe development of these different candidate sources and allows for a comparison of theemission characteristics and the general development status.

The light sources included in this bench-marking activity represent five different sourceconcepts, each state-of-the-art in their respective principle of operation. They are: a Z-pinch plasma, developed at Plex LLC, Brookline, Ma, USA [68]; a plasma focus source,named dense plasma focus (DPF), developed at Cymer Inc., San Diego, Ca, USA [86, 87];a laser produced plasma (LPP) [51] and a capillary discharge (CD) [88], both developedat Sandia National Labs, Livermore, Ca, USA and a hollow cathode triggered pinchplasma (HCT) at the Fraunhofer Institute for Laser Technique, Aachen, Germany [69, 89].These sources were already characterized by the respective source developers, but indifferent ways using a variety of detection methods. These ranged from calorimetry,filtered junction diodes, to grazing incidence spectroscopy. It was the explicit purpose ofthe Flying Circus (FC) bench-marking activity to characterize all sources using the same,absolutely calibrated diagnostics allowing a comprehensive comparison.

53

Page 65: Characterization of XUV sources

54 Chapter 5 · Flying Circus EUV source comparison

Results of the measurements of the different EUV light source characteristics mea-sured in FC are described. Source characteristics measured include the absolute in-bandEUV intensity and average EUV power at 11.4 and 13.4 nm, the pulse-to-pulse and longterm EUV intensity stability, and the contamination and multilayer mirror lifetime. Thewavelengths of interest, 11.4 and 13.4 nm, were determined by the spectral features of thesources under investigation, which all used Xe to generate the plasma, and the propertiesof the multilayer mirrors available in the EUV wavelength region.

5.3 Diagnostics

The full Flying Circus diagnostics have been described earlier in Stuik et al. [53], andthe calibration procedure is given in Chapters 3 [78] and 4 [85]. With the absolute XUVdiagnostic the EUV power could be determined with an uncertainty of <5% and the EUVstability with an uncertainty better than 2%. The contamination diagnostic consists ofdifferent multilayer mirrors which are exposed to the source during prolonged intervals(Fig. 5.1). The lifetime criterion of the optics was set by the number of shots causing a

Figure 5.1: Scheme of the contamination diagnostic. Three elements were used: a Siwafer, a 6 layer multilayer sample and a full stack multilayer.

10% loss of the multilayer reflectivity. The contamination from the source was measuredat the location proposed for the first condenser optic in later lithography schemes. Twodifferent types of samples were exposed during prolonged measurements: a full stackmultilayer having the approximate wavelength of interest, and few-layer samples suitablefor Auger analysis. The reflectivity curve was measured before and after the exposureduring a large number of shots and recalculated to a 10% decrease in reflectivity. Thisrecalculation assumes a linear increase in contamination. Verification of the linearityhas not been performed in this investigation. The second series of samples, consistingof 6-layer multilayer mirrors, served to determine the cause of a possible decrease inreflectivity and were characterized using Auger depth profiling. The limited number oflayers allowed to label the layer number, and to determine the layer dependent compositionof the multilayer mirror. The analysis gave information on effects such as deposition and

Page 66: Characterization of XUV sources

5.4 · Results 55

implantation of contamination and etching of the mirror, and polishing or rougheningof the sample surface. The Auger depth profiling and Atomic Force Microscopy wereperformed at Philips Research, Eindhoven.

5.4 Results

For each source the measurement conditions, absolute EUV energy per pulse, averageEUV power, short and long term stability and multilayer lifetime are presented.

5.4.1 Z-pinch1

This discharge source represented the conventional cylindrical pinch geometry, with anRF excited pre-ionization [16]. During the FC measurement period the source parameterswere a stored energy of 100 J/pulse, a repetition rate of 50 and 100 Hz, Xe plasmas anda collectable angle of 0.1 sr.

Figure 5.2: Evolution of the EUV yield (a = 13.4 nm, b = 11.4 nm) and pulse-to-pulsefluctuations (c = 13.4 nm, d = 11.4 nm) of the Z-pinch during a long term exposure.

The input energy of the Z-pinch was the highest of all sources investigated. The singleshot EUV yield was also the highest with a typical energy of 94 mJ/(2% BW 2π sr shot)at 13.4 nm and 35 mJ/(2% BW 2π sr shot) at 11.4 nm. With an average repetition rate of50 Hz this corresponded to 72 mW/(2% BW 0.1 sr) at 13.4 nm and 28 mW/(2% BW 0.1 sr)at 11.4 nm. A 2 − 4× higher yield was measured by a calorimeter filtered with a Zrband-pass filter, a discrepancy which has only partially been explained by a visible andinfrared light contribution and the difference in temporal behaviour of the two diagnostics.Comparison with a similar mirror-diode setup led to values comparable with the valuesmeasured using FC.

The EUV intensity, as shown in Fig. 5.2, decreased slowly over the measurementperiod of three hours, leading to long term intensity fluctuations of ∼11% (1σ RMS).Pulse-to-pulse fluctuations, on a scale of several seconds, as shown in Fig. 5.3, give a

1Measurements performed at “Plex LLC”, Brookline, MA, in the period 6 - 16 March 2000.

Page 67: Characterization of XUV sources

56 Chapter 5 · Flying Circus EUV source comparison

Figure 5.3: Pulse-to-pulse fluctuations in the Z-pinch yield at a repetition rate of 50 Hzfor 13.4 nm (a) and 11.4 nm (b). Each individual pulse has been plotted.

pulse-to-pulse fluctuation of 4% at 13.4 nm (1σ RMS). At 50 Hz the pulse-to-pulse timingjitter was roughly 5 ns (1σ RMS).

Figure 5.4: The reflectivity of the full stack multilayer mirror before (a) and after exposure(b) to the Z-pinch source.

For the contamination test, 540.000 shots at 100 J were made over a period of 3 hoursat 50 Hz. The contamination diagnostics were placed at a distance of 57 cm. After540.000 shots the full stack multilayer mirror reflectivity had decreased by 7%, as shownin Fig. 5.4. Recalculating the decrease to 10% gives a multilayer mirror lifetime of7×105 shots. Analysis of the 6-layer mirror showed a deposition of 4 − 5 nm of C onthe mirror. This carbon was most likely etched from non-UHV parts exposed to fast ionsfrom the source.

The main properties of the Z-pinch at the time of the measurements were a highuptime (100% at 50 Hz, >3 hours), the highest average EUV power of the dischargesources investigated and small pulse-to-pulse EUV fluctuations. On the other hand, the

Page 68: Characterization of XUV sources

5.4 · Results 57

high energy per pulse makes that up-scaling to the repetition rate required for EUVLdesign (>5 kHz) would require an excessive input power. The reduced acceptance anglemakes it relatively simple to match the etendue of the source to the optical system,but also reduces the maximally collectable EUV radiation. Within the first six monthsfollowing the FC measurements, the Z-pinch showed a further optimization of the EUVyield, with a factor of 1.7, up to 0.26 W in-band, while the lifetime was increased up to5-10×106 shots2.

5.4.2 Dense Plasma Focus3

This source, of the type of a plasma focus geometry with a co-axial cylindrical electrodeconfiguration, was run at 20 Hz, with 12 J/pulse and a Xe/He mixture as the workinggas. The open geometry of the anode/cathode system of the source in principle enablescollection of radiation over an angle of 2π sr.

Figure 5.5: Evolution of the EUV yield (a) and pulse-to-pulse fluctuations (b) of the DPFduring a long term exposure of 1 hour at 13.4 nm.

The typical EUV yield from the DPF was found to be 4.6 mJ/(2% BW 2π sr shot)at 13.4 nm and 9.0 mJ/(2% BW 2π sr shot) at 11.4 nm. This yield corresponds to96 mW/(2% BW 2π sr) at 13.4 nm and 0.18 W/(2% BW 2π sr) at 11.4 nm. The sourceintensity was showed a gradual decrease during long exposures, with long term intensityfluctuations of 3% (1σ RMS), as seen in Fig. 5.5. However, the pulse-to-pulse fluctuations,as shown in Fig. 5.6, were larger than any other source, with a best value of down to 35%fluctuation at 13.4 nm (1σ RMS)

The contamination measurements at the DPF were performed at a distance of 25 cm,with an energy of 12 J per pulse and a repetition rate of 20 Hz. During the 1 hourexperiment the contamination samples were exposed to 72000 shots. Fig. 5.7 shows thatthe reflectivity decreased by 5% during this exposure. Recalculation led to a multilayermirror lifetime of 6×105 shots.

2Communication with M. McGeoch, PLEX LLC.3Measurements performed at “Cymer Inc.”, San Diego, CA, in the period 14 - 22 June 2000.

Page 69: Characterization of XUV sources

58 Chapter 5 · Flying Circus EUV source comparison

Figure 5.6: Pulse-to-pulse fluctuations in the DPF yield at a repetition rate of 20 Hz, at13.4 nm. Each individual pulse has been plotted.

Figure 5.7: The reflectivity of the full stack multilayer mirror before (a) and after (b)exposure to the DPF source.

The source was capable of stable operation over longer time at the repetition rateof 20 Hz, with an option of scaling the system up to multi-kHz operation. The largepulse-to-pulse fluctuations in the EUV yield however, were the highest measured in thebenchmark. The source showed a low electrical to EUV efficiency, but reduction of thehigh Xe/He pressure in the chamber might lead to a significant increase in the collectableEUV yield. In the months after FC, the main improvements at the dense plasma focusdevice were on stability, which improved to 12% 1σ RMS, and a measured yield in burstmode (1 kHz) of 6.8 mJ/(2% BW 2π sr shot) at 13.4 nm, at similar input energy [90].

Page 70: Characterization of XUV sources

5.4 · Results 59

5.4.3 Laser Produced Plasma4

The plasma in this source type was generated using a 1667 Hz, diode-pumped Nd:YAGthree-laser system with a total pulse energy of 0.75 J. A cryogenic Xe gas/cluster jet wasutilized as a target. The source geometry allowed for a collection angle of π sr.

The LPP uses the lowest energy per pulse, and the corresponding typical EUV yield,3.4 mJ/(2% BW 2π sr shot) at 13.4 nm and 4.2 mJ/(2% BW 2π sr shot) at 11.4 nmare the lowest yields per pulse of the sources investigated. Due to the high repetitionrate however, the highest average EUV powers were measured: 2.8 W/(2% BW π sr) at13.4 nm and 3.5 W/(2% BW π sr) at 11.4 nm.

Figure 5.8: Evolution of the EUV yield (a = 13.4 nm, b = 11.4 nm) and pulse-to-pulsefluctuations (c = 13.4 nm, d = 11.4 nm) of the LPP during a long term exposure of12 minutes.

Figure 5.9: LPP pulse-to-pulse fluctuations at a repetition rate of 1.7 kHz for 13.4 nm(a) and 11.4 nm (b). Each individual pulse has been plotted.

4Measurements performed at the “Sandia National Laboratories”, Livermore, CA, in the period 23June - 5 July 2000.

Page 71: Characterization of XUV sources

60 Chapter 5 · Flying Circus EUV source comparison

Heating of the nozzle during the exposure caused the source to become instable inthe long term with the longest exposure being 12 minutes, as seen in Fig. 5.8. After12 minutes less than half of the incident laser energy was absorbed by the target gas. Thelaser system itself is stable within several percent, but instabilities in the gas jet limitedthe pulse-to pulse fluctuations to 9% at 13.4 nm (1σ RMS). Fig. 5.9 shows the EUVyield over an interval of 0.6 seconds. The fluctuations are limited by a sharp upper limit,where target and plasma conditions are optimal, but at the low side the limit value is lesspronounced, mainly due to fluctuations in the laser absorption in the target.

The maximum duration for the contamination exposure was 12 minutes, which re-sulted in an exposure of the multilayer mirrors, positioned at 12 cm from the source,of 1.8×106 shots of 0.75 J/shot. During the exposure the reflectivity dropped by 7%,as seen in Fig. 5.10. Recalculation to a decrease of 10% leads to a mirror lifetime of2.5×106 shots. Analysis of the 6-layer samples showed that the reduction in reflectionwas mainly caused by oxidation of the mirror.

Figure 5.10: The reflectivity of the full stack multilayer mirror before (a) and after (b)exposure to the LPP source.

Despite the low input energy per pulse, the LPP showed the highest average in-bandEUV power due to the high repetition rate. Also the mirror lifetime, expressed in numberof shots, was the longest. The high power near the cooled jet however, led to instabilitiesin the jet, and consequently in the EUV yield. The LPP requires a two step conversionprocess: from electric energy to laser light, and from laser light to EUV radiation. Thoughthe laser plasma is relatively small and easily manoeuvrable, the laser system requires alarge footprint. Finally, the LPP was the only source where an additional visible lightblocking filter was needed for suppression of out-of-band emission.

5.4.4 Capillary Discharge5

The CD, a discharge plasma source generated from a narrow cylindrical geometry withnegligible ’pinching’ effect, was operated at 20 Hz, 6 J per pulse and Xe pre-filled capil-laries. The current setup allowed for collection of π sr of emitted radiation.

5Measurements performed at the “Sandia National Laboratories”, Livermore, CA, in the period 6 -12 July 2000.

Page 72: Characterization of XUV sources

5.4 · Results 61

At the above conditions, the EUV yield was found to be 10.4 mJ/(2 % BW 2π sr shot)at 13.4 nm and 13.1 mJ/(2% BW 2π sr shot) at 11.4 nm. Given a repetition rate of 20 Hzand collectable angle of π sr, the average EUV power was 104 mW/(2% BW π sr) at13.4 nm and 131 mW/(2% BW π sr) at 11.4 nm. As seen in Fig. 5.11, the sourceshowed a gradual decrease in source intensity of roughly 50% in 1 hour. The pulse-to-pulse fluctuations, as seen in a 5 second interval were as low as 9% at 13.4 nm (1σ RMS).The pulse-to-pulse timing jitter was 175 µs (1σ RMS).

Figure 5.11: EUV yield (a = 13.4 nm, b = 11.4 nm) and pulse-to-pulse fluctuations(c = 13.4 nm, d = 11.4 nm) of the CD during a long term exposure.

Figure 5.12: Pulse-to-pulse fluctuations at a repetition rate of 20 Hz. Each individualpulse has been plotted.

The contamination measurements were performed at a distance of 12 cm, at 20 Hz,with an energy of 6 J per pulse. The total exposure was 75 minutes, giving 105 shots on themirror. The mirror showed a decrease of 84%, as seen in Fig. 5.13. Linear interpolationgave a mirror lifetime of less than 104 shots. Analysis of the 6-layer multilayer mirror

Page 73: Characterization of XUV sources

62 Chapter 5 · Flying Circus EUV source comparison

showed that the decrease was mainly caused by deposited capillary and electrode material.

Figure 5.13: The reflectivity of the full stack multilayer mirror before (a) and after (b)exposure to the CD source.

The gas load of the Capillary Discharge was the lowest and allowed for a low pressureof—EUV absorbing—Xe in the output region and vacuum vessel. In principle the sourceis scalable to multi-kHz operation, but shows the shortest multilayer mirror lifetime. Thelarge jitter in timing was believed to be caused by the simple, spark plug triggering mecha-nism. The most significant improvements to the capillary discharge in the period followingthe FC characterizations were a reduced contamination by 40×, increased repetition rateand improved electrode design [91].

5.4.5 Hollow Cathode Triggered pinch plasma6

In the HCT concept a discharge plasma is utilized with a discharge initiation by a hollowcathode geometry. The measurements were performed at a repetition rate of 30 Hz, with2 J per pulse. Xe was used for plasma generation. Using the current setup a collectionangle of 2 sr was available and burst mode operation of 500 Hz was demonstrated.

The EUV yield was 2.4 mJ/(2% BW 2π sr shot) at a wavelength of 13.4 nm and2.6 mJ/(2% BW 2π sr shot) at 11.4 nm. With the given collection angle and repetitionrate, this lead to an average in-band EUV power of 23 mW/(2% BW 2 sr) at 13.4 nmand 26 mW/(2% BW 2 sr) at 11.4 nm.

As seen in Fig. 5.14, initially the EUV yield drops by about 25%. This drop is causedby heating of the electrodes, at constant gas pressure and sequential lower gas density inthe target region. After 40 minutes the system was in thermal equilibrium, and the longterm stability became 3% (1σ RMS). Pulse-to-pulse fluctuations down to 4% (1σ RMS)at 13.4 nm were observed. As the system used self-induced triggering, the timing jitterwas relatively large, about 300 µs pulse-to-pulse, 1σ RMS.

The contamination measurements were performed during 2 12

hours at 30 Hz, leadingto 5×105 shots. The mirrors were positioned at 15 cm, and exposed to 2 J per shot. In

6Measurements performed at the “Fraunhofer Institute for Laser Technique”, Aachen, Germany inthe period 24 - 27 July 2000.

Page 74: Characterization of XUV sources

5.4 · Results 63

Figure 5.14: Evolution of the EUV yield (a = 13.4 nm, b = 11.4 nm) and pulse-to-pulsefluctuations (c = 13.4 nm, d = 11.4 nm) of the HCT during a long term exposure.

Figure 5.15: Pulse-to-pulse fluctuations at a repetition rate of 30 Hz, at 13.4 nm. Eachpulse has been plotted.

this test, the reflectivity dropped by 11%. The resulting multilayer mirror lifetime was5×105 shots. Analysis of the 6-layer multilayer samples showed a deposition of Al2O3,the insulator material, inside the hollow cathode.

The HCT pinch plasma showed a high uptime, 100% at 30 Hz for 2 12

hours, at smalllong-term and pulse-to-pulse fluctuations of 3 and 4% 1σ RMS respectively. Due to thelow energy per pulse, the device was claimed to be scalable to multi-kHz operation. Aburst test of several minutes was done at 500 Hz operation. Drawbacks at the time ofthe FC characterizations were instable operation at repetition rates above 500 Hz and arelatively large timing jitter at 30 Hz. The last issue could be solved by external triggeringwhich resulted in a reduced timing jitter at high frequency of less than 4 µs. The mostsignificant improvements in the months after FC were the demonstration of operation upto 540 Hz for more than 30 minutes and a burst mode of 1.1 kHz for several minutes [92].

Page 75: Characterization of XUV sources

64 Chapter 5 · Flying Circus EUV source comparison

Figure 5.16: The reflectivity of the full stack multilayer mirror before (a) and after (b)exposure to the HCT source.

5.5 Summary

In the Flying Circus EUV source bench-marking programme five candidate light sourceshave been investigated, with respect to the potential application to Extreme UltravioletLithography. The absolute in-band EUV power, the pulse-to-pulse and long term stability,and contamination of these sources have been investigated following a single, standardcharacterization approach. The data, recorded within a period of 4 months during 2000,provide a point of reference in the development of these sources. The main results aresummarized in Fig. 5.17 - Fig. 5.20.

Figure 5.17: The average in-band EUV power within the collectable angle for the fiveinvestigated sources. Shown are both the power at continuous operation (dark) and thepower in burst operation (light).

As can be seen from Fig. 5.17, the maximum power recorded at the time of thebenchmark programme was 2.8 W, achieved by the LPP. This is still a factor of 20

Page 76: Characterization of XUV sources

5.5 · Summary 65

Figure 5.18: The in-band conversion efficiency in a semi-sphere.

Figure 5.19: The sustained (dark) and maximum (light) repetition rate

removed from the minimum power required for EUVL, for which at the time of writingof this thesis an average in-band power of between 47 and 120 W, collectable and cleanEUV radiation in 2% bandwidth at 13.5 nm [9] is required. This power is measured inan intermediate focus to include debris mitigation techniques and initial collection. Lossfactors due to the use of debris mitigation techniques and limited collection efficienciesare not yet included in the factor 20. Fig. 5.18 shows that the LPP has the highestconversion efficiency from laser energy coupled into the plasma into EUV energy. Themore meaningful parameter in this frame is the total wall-plug efficiency which includes theelectrical-to-laser conversion step, about 10-20%. For the discharge sources the efficiencymentioned starts from the electrical energy stored in the capacitor banks. Notable is thatthis wall plug-EUV efficiency then becomes roughly equal for all sources when calculatingthe full wall-to-EUV efficiency. Fig. 5.19 shows the repetition rate for the different sources.Again the LPP showed the highest repetition rate, 1700 Hz, though other sources showedcomparable repetition rates in burst mode and were expected to be able to reach similarrepetition rates within a year. The repetition rate required by the application was >5 kHz.

Page 77: Characterization of XUV sources

66 Chapter 5 · Flying Circus EUV source comparison

Figure 5.20: Multilayer mirror life time, as defined by 10% decrease in reflectivity (solid).Indicated is also the total in-band EUV energy within the mirror lifetime (dots).

Results on contamination are shown in Fig. 5.20. All sources show a life time which is atleast 105× below the required lifetime of 1011 pulses, obviously constituting a potentialshowstopper for EUV Lithography.

Within the first half year following the FC activity significant improvements have beenreported. The standard of EUV source characterization, as introduced by Flying Circus,has been accepted by the EUVL community as the standard diagnostic for EUV sources.

5.6 Acknowledgements

The author especially acknowledges the assistance by Raluca Constantinescu, Petra Hege-man and Jeroen Jonkers of Philips Research. Acknowledged are - Malcolm McGeoch andPaul Hegan (PLEX LLC) - Igor Fomenkov and Bill Partlo (Cymer Inc.), - Dick Anderson,Howard Bender, Luis Bernardez, Dean Buchenauer, Neil Fornaciari, Dough Hahn, KevinKrentz, Glenn Kubiak, Paul Rocket and Ken Williams (Sandia National Laboratories),and - Klaus Bergmann, Rainer Lebert, Willi Neff and Oliver Rosier (Fraunhofer-Institutsfr Lasertechnik ILT). The project was funded by ASML. Vadim Banine, Jos Benschop andRoel Moors are acknowledged for their support, and making the arrangements with thevarious source developers. Eric Louis, Marc Kessels, Edward Maas, Sebastian Oestreichand Andrey Yakshin are acknowledged for their multilayer mirrors at 13.4 nm. The coat-ing group at LLNL (Jim Folta and Claude Montcalm) provided the mirrors for 11.4 nm.Calibration of the FC was mainly performed at PTB, Bessy II by Bernt Meyer, DetlefSchmitz and Frank Scholze and was sponsored by Carl Zeiss. Peer Zalm performed theAuger analysis of the contaminated samples. The support at FOM by Henri Fledderusand by Caspar Bruineman, from Scientec Engineering has been a great help.

Page 78: Characterization of XUV sources

5.7 · addendum 67

5.7 addendum

The Flying Circus campaign gave the first comprehensive overview of the status of thedifferent sources being developed for EUVL. Since the campaign, executed in the first halfof 2000, all sources have showed significant improvements in all aspects of performance, forexample in power, as shown in Fig. 1.1. Simultaneously, the development and constructionof the “alpha-tool,” an EUVL demonstration machine, continued, giving rise to newsource specifications, like stricter requirements on contamination, gas flow and out-of-band radiation. These additional requirements have let to the definition of a “secondaryfocus,” i.e. the focus of source collection optics, in which the “clean, usable photons” haveto be delivered. Loss factors from filters, collection optics and debris mitigation systemsare now included, leading to a considerable increase in the demand of “raw” EUV power.If all loss factors need to be incorporated, the power required by EUVL has grown fasterthan the power demonstrated by the state-of-the-art EUV sources.

Page 79: Characterization of XUV sources
Page 80: Characterization of XUV sources

Chapter 6

Luminescent Materials for EUVDetection Purposes

6.1 Abstract

In this paper we present the results of an elaborate study to investigate the properties ofluminescent materials for extreme ultraviolet (EUV) detection in EUV lithographic tools.The study presented here involves both bulk single crystalline samples and thin filmsamples. Bulk single crystalline samples with polished surfaces are industrially available,while thin film samples were home-made by performing physical vapour deposition (PVD)of several luminescent materials on polished quartz substrates. Careful pre-selection ofthese phosphors was based on multiple strong criteria. The measured results presentedhere include time-resolved luminescence decay, luminescence spectra and luminescencelinearity measurements under deep-UV (248 nm) and EUV (13.5 nm) excitation. Ourresults indicate the possible use of two luminescent materials, being YAG:Ce (bulk) andCaS:Ce (thin film), for EUV detection in EUV lithographic tools. Practical use of theseluminescent materials, however, will require a high EUV power in order to obtain asufficient signal-to-noise ratio.

6.2 Introduction

Historically, luminescent materials have been used for an extensive variety of applica-tions. These applications range from sophisticated medical appliances, such as X-rayimaging, to domestic applications, such as household luminaries and fluorescent lamps.More recently, luminescent materials have been utilized for DUV detection in deep-UVlithography tools. Key advantages of implementing luminescent materials in such detec-tion applications are; implementation of standard optical detection and imaging systemswith transmittive luminescent samples; and prevention of detector radiation damage tosilicon photodiodes due to the absorption of deep-UV (DUV) and extreme-UV (EUV)photons [93]. In this paper we present the results of an elaborate study to investigate theuse of luminescent materials, or phosphors, for EUV detection in EUV lithographic tools.Phosphors may provide an appropriate alternative for EUV detection currently scheduledto be performed with standard silicon photodiodes that are known to show performancedegradation under high intensity EUV conditions [93]. The study presented here involves

69

Page 81: Characterization of XUV sources

70 Chapter 6 · Luminescent materials for EUV

bulky single crystalline samples and thin film samples. Large single crystalline sampleswith polished surfaces are industrially available1,2,3. Thin film samples were home-madeby performing physical vapor deposition (PVD) of several phosphors on quartz substrates.These phosphors were pre-selected on a number of selection criteria including their deep-UV luminescent performance [94, 95, 96]. For a general introduction to the concept ofluminescent materials we refer to the book by Blasse et al. [96]. Elaborate luminescenceexperiments have been performed both under pulsed deep-UV (DUV) and pulsed EUVexcitation. Our results presented here include time-resolved luminescence decay, lumi-nescence spectra and luminescence linearity measurements with excitation power. Ourresults indicate two candidate luminescent materials, being YAG:Ce (bulk) and CaS:Ce(thin film), for EUV detection. EUV detection consists of dose sensing at wafer level andEUV source diagnostics. The latter includes source dimension analysis, spatial positionstability, EUV yield, and time-resolved behavior in general. This manuscript is structuredas follows. In Sec. 6.3 we present the phosphor material selection criteria and discussthe thin film sample preparation method. Section 6.4 presents an overview of the ex-perimental equipment. In Sec. 6.5 we present the experimental results including surfaceroughness measurements. We will summarize and discuss our findings in Sec. 6.6.

6.3 Sample preparation

6.3.1 Requirements on the luminescent materials

This study aims at the investigation of phosphors for an EUV lithographic tool, a pulsed,high power device, requiring high vacuum and low maintenance. Selection of appropri-ate phosphors for EUV detection is therefore performed under guidance of the followingselection criteria: (i) supreme conversion efficiency from EUV into visible light indicatedby their DUV and electroluminescence performance [94, 95, 96], (ii) decay rate of lumi-nescence after pulsed excitation must be fast in comparison with typical scanner systemtime scales such as source repetition rate, while a slow decay would decrease signal-to-noise ratio on the -fast- detector, (iii) stable phosphor layers of 100-1000 nm thicknessmust be easily deposited on quartz substrates by means of physical vapour deposition(PVD) (thin film samples only), (iv) evaporation/outgassing of phosphor material is notallowed in high vacuum conditions, (v) no conversion efficiency degradation or surfacequality degradation is to be observed after long time EUV exposure. Selected materialsin the form of bulk single crystals are; Y3Al5O12:Ce (YAG:Ce), YAl3O5:Ce, Y2(SiO)5:Ceand Bi12GeO20. Selected materials to perform PVD experiments with (available in theform of fine powders) are; CsI:Na, CaS:Ce, ZnS:Ag,Cl, and Y2O3:Bi. A first set of proof-of principle experiments was performed with these materials as delivered by commercialvendors (see footnotes 1,2,3). Powder samples were prepared by attaching double glue-sided TESA film to a quartz substrate and subsequently pressing this construction intothe phosphor powder. In this way the TESA film surface is covered with roughly a mono-layer of the small crystalline particles. Time-resolved luminescence experiments wereperformed under DUV and EUV irradiation with detection in transmission (see Sec. 6.4).

1Crismatech: http://www.crismatec.com2Phosphor Technology: http://www.phosphor-technology.com3Marketech International: http://www.mkt-intl.com/

Page 82: Characterization of XUV sources

6.4 · Experimental setup 71

Layer thickness Technique Concentration Technique Concentration500 nm XRF 1.2 mol% Ce WCA 1.1 mol% Ce800 nm XRF 1.2 mol% Ce WCA 1.1 mol% Ce

Table 6.1: Cerium concentration in vacuum deposited thin film CaS:Ce samples.

From these experiments the materials YAG:Ce and CaS:Ce were observed to reveal thebest luminescence properties.

6.3.2 Deposition of thin CaS:Ce layers on quartz substrates

The source material used is calcium sulphide (CaS) powder containing a dote of 1 mol%Cerium (Ce) as obtained from Phosphor Technology (see footnote 2). X-ray diffraction(XRD) measurements reveals a single-phase cubic (a=5.65 A) lattice structure. The PVDequipment works with samples of solid material, made of the crude powder by means ofcold hydrostatic compression. Electron beam irradiation of the sample locally vaporizesthe material into its constituent atoms which find their way to the bare substrate. Thinfilm samples of CaS:Ce deposited on quartz surfaces have been manufactured with athickness sequence of 150 nm, 500 nm, 800 nm, and 1200 nm. XRD measurements on500 nm and 1200 nm thick layers of CaS:Ce on quartz reveal a crystalline structurewith a preferential ordering in the (111) direction in both cases. Hence the depositedthin films already have a crystalline structure. Contrary to the powder samples, none ofthe thin film samples reveal luminescence under illumination with UV radiation from amercury lamp. Annealing is proposed as a method to induce luminescence. Consideringthe fabrication process of CaS:Ce phosphor(see footnote 2), a sample of CaS:Ce powderis fired for 1 hr at 600C under an H2S atmosphere. The powder sample shows a stronglyreduced luminescence under UV illumination. However, a sample of CaS:Ce powder firedfor 1 hr at 600C under an N2 atmosphere shows no observable change in its luminescenceyield. Based on these observation a thin film sample is fired for 10 minutes at 600C undera N2 atmosphere. Luminescence under UV illumination is now observed though weak.Additional trials yield a coherent annealing recipe to obtain optimal UV luminescence asobserved by eye; 15 minutes of firing at 900-1100C under a N2 atmosphere. Afterwardssamples are stored in argon filled bottles and sealed. Additional XRD analysis of firedthin film sample again reveals a crystal structure with the preferential ordering in the(111) direction. Apparently, luminescence is feasible after the crystal has released stressby means of structural shifts without changing the overall structure dramatically. X-rayfluorescence (XRF) and wet chemical analysis (WCA) yields the results tabulated in Table6.1 indicative of a complete transfer of the source material to the deposited thin films.

6.4 Experimental setup

The luminescence experiments presented here have been carried out at the EUV sourcefacility of the FOM-Institute Rijnhuizen. A schematic drawing of this facility is depictedin Fig. 6.1. The facility is driven by a high power KrF excimer laser producing laser pulsesof 25 − 30 ns duration with a pulse energy up to 1.0 J at a wavelength of 248 nm. The laserbeam is focussed down to a spot of 25 µm, for this experiment on metal surfaces, creating a

Page 83: Characterization of XUV sources

72 Chapter 6 · Luminescent materials for EUV

Figure 6.1: Schematic drawing of the vacuum part of the EUV source facility located atthe FOM-Institute Rijnhuizen. The DUV beam enters the facility from the left. EUVgenerated by the Tantalum plasma is reflected by a curved mirror towards the sample.The EUV beam path is 76 cm long. Both types of samples are in the form of discs withan 8 mm diameter and an 1 mm thickness. Note the motorized quartz plate for darkcurrent measurements.

hot plasma with temperatures up to 70 eV. Up to 50% of the input laser energy is emittedby the plasma into broadband UV and EUV radiation. The efficiency for generating13.5 nm radiation in a 2% bandwidth has been measured to be 0.85%. With the laseroperating at 50 Hz, this results in an average power in the EUV range of 500 mW. Twoseparate channels, each with curved multi-layer mirrors, focus the EUV radiation onto ourhome-build sample holders. The diode sample holder contains a photodiode positionedin the focus of a multi-layer mirror. Spectral filters and/or samples can be mounted infront of the samples and/or the diode to study the EUV pulse shape, the luminescencedynamics in time and the conversion efficiency from EUV to visible light. In the fiberoptics sample holder a phosphor sample is positioned in the focus of the second multi-layer mirror. Visible light emitted by the sample is collected by optics and transmitted bymeans of broadband quartz fibers and a vacuum feed-through to the calibrated PC-basedOcean Optics 2000 spectrometer recording the spectrum of luminescence. Both holdersare stray light sealed by means of a black cap.

6.5 Experimental results

6.5.1 Input pulse shape measurements

The temporal DUV pulse shape produced by the high-power excimer laser is measuredwith an AXUV-100 silicon diode manufactured by IRD4. When highly biased these diodesare capable of resolving events of 5 ns time scale. In Fig. 6.2 the result of this measurementis presented. The DUV pulse is characterized by a full width at half maximum (FWHM)of 29 ns The DUV pulse carries 0.9 J/pulse at a wavelength of 248 nm. The temporal

4http://www.ird-inc.com

Page 84: Characterization of XUV sources

6.5 · Experimental results 73

Figure 6.2: Temporal DUV pulse shape measured with the diode placed behind a surfaceroughened hence strongly scattering quartz plate in order to avoid hot spots on the diodesurface. The pulse is characterized by a full width at half maximum (FWHM) of 29 ns.

Figure 6.3: Temporal EUV pulse shape showing a rapid increase to a maximum afterwhich it shows a well defined exponential decay. The pulse is characterized by a fullwidth at half maximum (FWHM) of 29 ns and an exponential decay time of 16.8 ns.

shape of the EUV pulse, depicted in Fig. 6.3, is measured with the AXUV-100 silicondiode. In front of the diode thin Si3N4/Nb (50/100 nm) foil is placed to suppresses allvisible or DUV light contributions. The temporal EUV pulse is characterized by a fullwidth at half maximum (FWHM) of 29 ns and an exponential decay time of 17 ns. Basedon additional measurements it is estimated that the unattenuated EUV pulse carries2.0 µJ of energy per pulse at a central wavelength of 13.5 nm. The spectral width of theEUV pulse is determined by the spectral emission profile of the plasma and the spectral

Page 85: Characterization of XUV sources

74 Chapter 6 · Luminescent materials for EUV

reflection profile of the multi-layer mirror.

6.5.2 Luminescence experiments

During DUV experiments a phosphor sample is irradiated under atmospheric conditionswith the direct KrF excimer laser beam. To obtain comparable DUV and EUV intensitieson the samples the DUV beam intensity is attenuated three orders of magnitude byreflecting the beam twice on large quartz plates. A plastic sheet in between the phosphorsample and the diode detector absorbs all remaining DUV radiation hence avoiding falsesignal detection. No fluorescence was observed from the plastic sheet. During EUVexperiments a phosphor sample is irradiated in vacuum by EUV radiation produced withthe laser plasma source. Dark current and/or stray light measurement are performed witha motorized quartz plate blocking the EUV beam. A Si3N4/Nb thin film filter placed infront of the phosphor sample acts as a spectral filter transmitting 42% of the incomingEUV but attenuating visible or DUV light at least a factor 104. Hence neither false signaloriginating from the plasma source or any luminescence excited by scattered DUV lightis measured.

Crystalline samples of YAG:Ce

Figure 6.4: Normalized time resolved luminescence of a YAG:Ce single crystal sampleexcited by pulsed DUV irradiation. Note the well-defined exponential decay over nearlythree order of magnitude with a characteristic decay time of 68.5 ns.

Figure 6.4 shows the luminescence measured on a YAG:Ce single crystal sample afterexcitation by pulsed DUV irradiation. After a rapid increase to a maximal value (normal-ization point) a well-defined single exponential decay occurs with a characteristic decaytime of 68.5 ns. Single exponential behavior is confirmed by matching a double exponen-tial function to the data yielding an amplitude ratio of 40 in favor of the fast relaxationcontribution.

Page 86: Characterization of XUV sources

6.5 · Experimental results 75

Figure 6.5: Normalized time resolved luminescence of a YAG:Ce single crystal sampleexcited by pulsed EUV irradiation (solid curve). Note the double exponential decay overnearly two order of magnitude illustrated by the matching fit function (dashed curve)characterized by two time scales; 93.4 ns and 285 ns with an amplitude ratio of 9.3 infavor of the fast relaxation contribution.

Figure 6.5 shows the luminescence measured on a YAG:Ce single crystal sample afterexcitation by pulsed EUV irradiation. The normalized luminescence reveals a double ex-ponential decay confirmed by matching a double exponential function to the data yieldingtwo time scales, 93.4 ns and 285 ns respectively, with an amplitude ratio of 9.3 in favor ofthe fast relaxation contribution. The occurrence of a double exponential relaxation canbe explained by the different mechanisms of excitation by either DUV or EUV radiation.DUV photons are directly absorbed by the rare-earth ion without any energy transferwith the host lattice. In the case of EUV irradiation it is the host lattice that absorbsthe high energy photon and converts it into lattice excitations with some energies tunedto the absorption spectrum of the rare-earth ion. Then the rare earth ion is excited andsubsequently decays to the ground state under photon excitation. The resulting decaybehavior observed is the convolution of the time behavior of the process of energy transferand the rare-earth ion de-excitation. Assuming both processes to be exponential in timethe resulting decay behavior observed is a double exponential decay in time. The longertime scales involved are attributed to the addition of internal energy transfer process rates.Linearity of the luminescence with the sample irradiating EUV intensity is of key impor-tance. The experimental setup allows for the introduction of a low-pressure N2 buffer gasinto the vacuum chamber, absorbing EUV radiation without emitting luminescence. TheEUV transmission of the buffer gas is exponentially proportional to the pressure of thegas. The integrated time-resolved luminescence decay measured at different settings ofthe buffer gas pressure is proportional to the number of detected photons.

The measured result is shown in Fig. 6.6 where an exponential decay of the integratedluminescence with the buffer gas pressure is observed. This indicates that over the rangeof EUV intensities investigated, the luminescence is linear with excitation EUV power.

In Fig. 6.7 the luminescence spectrum measured on a YAG:Ce single crystal sample

Page 87: Characterization of XUV sources

76 Chapter 6 · Luminescent materials for EUV

Figure 6.6: Time-integrated luminescence recorded on a YAG:Ce single crystal sampleexcited by EUV irradiation at various pressures of the nitrogen buffer gas (solid box). Theluminescence decays exponentially with the buffer gas pressure (dashed line) indicative ofluminescence linearity with EUV power.

Figure 6.7: Spectrally-resolved luminescence recorded on a YAG:Ce single crystal sampleexcited by DUV irradiation(solid curve) and EUV irradiation (noisy curve). Spectra arenormalized to the maximal value. Note the similarity of both curves.

after excitation by pulsed DUV irradiation is depicted. For convenience the spectrum isnormalized to its maximal value and is characterized by the peak location at 540 nm anda FWHM of 110 nm. In Fig. 6.7 the EUV induced luminescence spectrum measuredon a YAG:Ce single crystal is also depicted. The spectrum is characterized by the peaklocation at 540 nm and a FWHM of 108 nm. The spectra depicted in Figs. 6.7 are similarexcept for a small bump around 800 nm. However, comparing the shape of both spectracan only be done after proper normalization. Instead of normalizing to maximal values

Page 88: Characterization of XUV sources

6.5 · Experimental results 77

a more proper way is to normalize the spectra to their content, i.e., to the surface areaunderneath the spectra representing the total number of decay channels. The differencebetween both spectra then represents the difference in the decay channels present fordifferent excitation wavelengths. The latter is shown in Fig. 6.8.

Figure 6.8: Difference between spectra recorded on a YAG:Ce single crystal sample excitedby DUV and EUV irradiation. Both spectra depicted above are normalized to theirspectral content and compared by subtraction. The spectral difference amounts to 0.2%max. of the recorded spectra.

Clearly the shape of the spectra differ, though not much, other than observed byeye. Hence the decay mechanism after DUV excitation is slightly different from thedecay mechanism after EUV excitation, perhaps by an additional dark transition processexplaining the decay time differences.

Thin film samples of CaS:Ce

Figure 6.9 shows the typical time-resolved luminescence measured on the [150 nm, 1100C]thin film CaS:Ce sample after excitation by pulsed DUV irradiation. The luminescenceshows a rapid increase to a maximal value from where a multi-exponential decay occurs.Matching a multi-exponential function to the data yields three time scales, 58 ns and325 ns and 3277 ns respectively, with relative amplitudes of 1/10 and 1/53 with respectto the fast relaxation contribution. Relaxation times are observed not to systematicallychange with film thickness.

Figure 6.10 depicts the typical luminescence decay measured on the [150 nm, 1100C]thin film CaS:Ce sample after excitation by pulsed EUV irradiation. Matching a multi-exponential function to the data yields three time scales, 55 ns and 350 ns and 3730 nsrespectively, with relative amplitudes of 1/10 and 1/90 with respect to the fast relaxationcontribution. The occurrence of a multi exponential relaxation indicates the presence ofa number of different de-excitation mechanisms in the crystal although the host lattice ofCaS is simple cubic. Perhaps the different possible locations of the rare-earth ion in thehost lattice, each constituting a de-excitation mechanism with a different time constant,

Page 89: Characterization of XUV sources

78 Chapter 6 · Luminescent materials for EUV

Figure 6.9: Normalized time resolved luminescence decay of the [150 nm, 1100C]CaS:Ce thin film sample after excitation with pulsed DUV radiation. Matching a multi-exponential function to the data yields three (3) time scales, 58 ns and 325 ns and 3277 nsrespectively, with relative amplitudes of 1/10 and 1/53 with respect to the fast relaxationcontribution.

Figure 6.10: Normalized time resolved luminescence decay of the [150 nm, 1100C]CaS:Ce thin film sample after excitation with pulsed EUV radiation. Matching a multi-exponential function to the data yields three (3) time scales, 55 ns and 350 ns and 3730 nsrespectively, with relative amplitudes of 1/10 and 1/90 with respect to the fast relaxationcontribution.

explains the observed behavior. Because for either DUV or EUV irradiation a comparablemulti exponential relaxation is observed it must be the host lattice that is absorbing theincoming energy quanta in both cases.

Page 90: Characterization of XUV sources

6.5 · Experimental results 79

The energy conversion efficiency (ECE) of DUV or EUV radiation into visible radia-tion is obtained from measurements with and without a phosphor sample in front of theAXUV-100 diode detector. Integration in time of the luminescence decay yields a numberproportional to the number of photons emitted by the sample. Determining the energyconversion efficiency requires additional assumptions to be made; (1) 65% of DUV and100% of EUV radiation is absorbed, (2) luminescent light is internally emitted isotropi-cally and must be coupled out of the disc sample, (3) the diode surface reflects 50% ofluminescent light, (4) photodiode sensitivity is roughly equal for EUV and visible lightirradiation. In Table 6.2 an overview of the ECE is presented. The DUV ECE is ex-pressed in units of single crystal YAG:Ce ECE because of the lack of a calibrated DUVattenuation.

Figure 6.11: Relative energy conversion efficiency (normalized to max) into visible lightafter DUV excitation plotted against sample thickness for different annealing temperatures(see legend). Sample thickness denotes the CaS:Ce phosphor layer thickness deposited onthe quartz substrate. Note the rather large jumps by the samples annealed at 1000C

In Figs. 6.11 and 6.12 the DUV and EUV induced luminescence yield are plottedagainst the sample thickness for different annealing temperature, respectively. Note fromboth graphs the competition between the 150 nm sample and the 500 nm sample an-nealed at 1100C. Under EUV excitation the 500 nm sample yields the highest amountof luminescence. The integrated time-resolved luminescence decay measured at differentsettings of the buffer gas pressure is proportional to the number of detected photons.The measured result is shown in Fig. 6.13 where an exponential decay of the integratedluminescence with the buffer gas pressure is observed indicative of luminescence linearitywith EUV power.

Luminescence spectra

In Fig. 6.14 the luminescence spectrum measured after excitation by DUV and EUVirradiation is depicted for the [500 nm, 1100C] sample. Both spectra are characterizedby a peak location at 509 nm and a FWHM of 50 nm, with a second peak at 562 nm,

Page 91: Characterization of XUV sources

80 Chapter 6 · Luminescent materials for EUV

Figure 6.12: Energy conversion efficiency into visible light after EUV excitation plot-ted against sample thickness for different annealing temperature (see legend). Samplethickness denotes the CaS:Ce phosphor layer thickness deposited on the quartz substrate.Note the similar trend with sample thickness for all three annealing temperatures of thesamples.

Figure 6.13: Time-integrated luminescence recorded on a [150 nm, 1100C] CaS:Ce thinfilm sample excited by EUV irradiation at various pressures of the nitrogen buffer gas(solid diamonds). The luminescence decays exponentially with the buffer gas pressure(dashed line) over two orders of magnitude indicative of luminescence linearity with EUVpower.

explaining the light green color of luminescence as observed by eye. The similarity ofspectral features combined with the comparable time scales of relaxation again indicatesthat the host lattice is absorbing the incoming energy quanta in both cases. Comparing

Page 92: Characterization of XUV sources

6.5 · Experimental results 81

Figure 6.14: Luminescence spectrum measured on the [500 nm, 1100C] sample afterexcitation by DUV (dashed curve) and EUV (solid curve) irradiation.

Sample DUV ECE EUV ECE EUV ECE(rel) (%) (rel)

YAG:Ce crystal 1.000 2.70 1.00CaS:Ce [150 nm, 900C] 0.005 0.10 0.04CaS:Ce [150 nm, 1000C] 0.077 0.23 0.09CaS:Ce [150 nm, 1100C] 1.13 2.39 0.89CaS:Ce [500 nm, 900C] 0.183 0.62 0.23CaS:Ce [500 nm, 1000C] 0.835 1.52 0.56CaS:Ce [500 nm, 1100C] 0.92 3.04 1.13CaS:Ce [800 nm, 900C] 0.111 0.23 0.09CaS:Ce [800 nm, 1000C] 0.214 0.35 0.13CaS:Ce [800 nm, 1100C] 0.007 0.37 0.14CaS:Ce [1200 nm, 900C] 0.081 0.20 0.07CaS:Ce [1200 nm, 1000C] 0.169 0.46 0.17CaS:Ce [1200 nm, 1100C] 0.42 0.80 0.30

Table 6.2: Energy conversion efficiency of thin film CaS:Ce samples under DUV andEUV excitation. For convenience and clarity all numbers are normalized to the ECE ofYAG:Ce. An experimental reproducibility of less than 5% is hard to obtain due to thenature of pulse-to-pulse power fluctuations of the excimer laser.

spectra and spectral differences for all thin film samples reveals the absence of systematicannealing temperature and film thickness dependent spectral features and differences.Neither the layer thickness nor the annealing temperature influences the luminescencespectra and the associated decay mechanisms.

Page 93: Characterization of XUV sources

82 Chapter 6 · Luminescent materials for EUV

Sample Thickness Annealing Roughness Comment

(nm) Temperature (C)√

〈z2〉 (nm)No. 11 150 900 6.69 Appears flatNo. 3 150 1000 4.652 Strongly curvedNo. 12 150 1100 191.27 CurvedNo. 4 500 900 6.744 CurvedNo. 1 500 1000 87.034 CurvedNo. 5 500 1100 25.795 CurvedNo. 6 800 900 5.22 FlatNo. 7 800 1000 54.255 CurvedNo. 2 800 1100 10.813 FlatNo. 8 1200 900 21.256 CurvedNo. 9 1200 1000 200.170 RoughNo. 10 1200 1100 80.012 Remnant bump

Table 6.3: Results of surface roughness measurement on temperature annealed thin filmCaS:Ce samples. Quality order : 9, 12, 1, 10, 7, 5, 8, 2, 3, 11, 4, and 6.

6.5.3 Surface roughness measurement

For the final application in EUVL, the surface quality determines the imaging properties ofthe fluorescent materials. Modification of the fluorescent properties by annealing can alsomodify the sample surface. The surface quality of thin film CaS:Ce samples is investigatedby means of an interference microscope. The microscope is able to resolve structures witha vertical dimension down to 5 nm on a field of 0.8×0.8 mm2. The large field combinedwith the resolving power yields an accurate and complete representation of the state of thesurface quality. With Mathcad Software we performed a statistical analysis of the databefore and after subtracting a third order polynomial fit to the data. The polynomial fitrepresents surface behavior on long length scales. The remaining part after subtractionrepresents dust particles, cracks and other surface flaws. Table 6.3 presents the resultsof the statistical analysis. From the results a qualitative sample order can be extractedrepresenting samples with increasing surface quality. The order indicates the best samplesto be obtained with higher film thickness and lower annealing temperature. However,samples no. 8 and no. 2 are exceptions to that rule.

6.6 Summary

The phosphors presented and discussed in this paper are YAG:Ce and CaS:Ce. Bothmaterials show an appreciable amount of visible luminescence under pulsed DUV andpulsed EUV irradiation, observed with crystalline, powder and thin film samples. Timeresolved luminescence measurements reveal the fast nature of luminescence decay dynam-ics characterized by short exponential decay times. The observation of differences in theluminescence decay under pulsed DUV and pulsed EUV irradiation is explained in termsof different mechanisms of excitation and energy transfer. These difference are exempli-fied by small but relevant shifts in the luminescence spectrum accurately recorded with aspecial purpose wide-spectral range PC-based spectrometer. The material CaS:Ce can be(i) deposited in the form of thin films on large quartz substrates by means of e-beam evap-

Page 94: Characterization of XUV sources

6.7 · Acknowledgements 83

oration and vacuum deposition and (ii) brought to luminescence by means of temperatureannealing under a suitable gas atmosphere. Experiments with other materials reveal theincomplete transfer of atomic elements and phase separation of transferred atomic ele-ments into unwanted crystalline structures. Furthermore most of these materials revealthe absence of luminescence even after temperature annealing. CaS:Ce is observed to bedeposited as an already crystalline material layer, a prerequisite for success. Additionalannealing at comfortable temperatures during short times yields samples with excellentluminescent properties. However the surface quality is affected by high temperature an-nealing, in terms of increasing roughness, and should therefore be avoided. For futureuse of and experiments with the CaS:Ce samples it is recommended to proceed with the500 nm phosphor layer thickness annealed at 1100C. Considering the use of phosphorsfor EUV detection the materials YAG:Ce and CaS:Ce are preferred by far. However, thesuccessful implementation of a luminescent material in sensing devices requires the pres-ence of a sufficiently high EUV power in order to yield ample visible light and warrant asatisfactory signal-to-noise ratio for diagnostics operations.

6.7 Acknowledgements

We thank J. van der Werf of Philips Research Eindhoven and C. Ronda of Philips ResearchAachen for stimulating discussions. We thank H. van Hal, W. Keur and K. van den Heuvelof Philips Research Eindhoven for sample preparation. We thank and F. Bijkerk andH. Fledderus of the FOM-Institute Rijnhuizen for experimental assistance with the EUVsetup.

Page 95: Characterization of XUV sources
Page 96: Characterization of XUV sources

Part II

Source optimization

85

Page 97: Characterization of XUV sources
Page 98: Characterization of XUV sources

Chapter 7

Characterization of a picosecondlaser plasma source in the Extreme

UV wavelength band

7.1 Introduction

Extreme Ultra Violet lithography is considered a likely successor of the current deep-UVlithographic techniques in semiconductor industry. For this application a bright sourceof monochromatic light with a wavelength in the range between 10 and 20 nm is needed.An appropriate candidate is the laser plasma; a very bright point source, also in thiswavelength range. Optimization of yield of a laser plasma is needed in order to use thissource as efficient as possible. Much research has been done on this subject at longerpulse lengths (5 − 25 ns), e.g. Spitzer et al. [97] and Shevelko et al. [32]. So far, shorterpulses, usually resulting in high temperature plasmas, have not often been studied. Inthis work, several experiments have been carried out with the FOM Institute for PlasmaPhysics Rijnhuizen (Nieuwegein, The Netherlands), to provide a characterization of theRAL picosecond laser plasma source [41] in the EUV band. A calibrated diagnostic unitfor detection of radiation at 13.7 nm wavelength was employed.

7.2 Material and methods

The characterization of the picosecond plasmas was done at 13.7 nm, in the wavelengthband that will be used in future EUVL applications. The laser was generated usingthe RAL picosecond laser system. A pulse train of 6 picosecond pulses of 20 mJ laserlight of 248 nm generated a laser plasma with temperatures of a few hundred eV. Thesetemperatures obtained with the high electromagnetic radiation levels of the laser aresufficient to generate X-rays with energies of several tens of eVs up to several keVs. Asmall energy band (E/∆E = 25) centred at 90 eV (13.7 nm) was selected using a multilayermirror. The mirror consisted of 40 bi-layers of Mo/Si on a spherical substrate with 32 cmradius of curvature. The plasma was imaged on a PIN diode by this multilayer mirror.The diode was covered with a filter consisting of 50 nm Si3N4 and 100 nm Nb. This filtersuppresses visible/UV by a factor of 104. All elements (mirror, filter and detector) were

87

Page 99: Characterization of XUV sources

88 Chapter 7 · Optimization of picosecond sources

absolutely calibrated for the wavelength under observation at the PTB beam line at Bessy(Berlin) and the Institute for Physics of Microstructures (Nizhny Novgorod).

For a few experiments, also a streak camera was used to investigate the time structureof the plasma at the wavelength of 13.7 nm. The scheme used was analogous to theabsolute calibration measurements, with the cathode of the streak camera replacing thePIN diode. This way, a time resolution of a few tens of picoseconds could be obtained.

The power density on target was varied using different methods of illumination:

Beam attenuation by inserting different quartz plates, the laser beam was attenuatedover more than an order of magnitude while keeping the spot size constant. Asecond method to attenuate consisted of positioning a diaphragm in the beam,which changed somewhat the focal spot size.

Pulse duration Changing the oscillator cavity, a range in pulse duration’s between 3and 21 ps per pulse could be obtained. Since the energy per pulse did not changesignificantly over this range, almost an order of magnitude in pulse duration couldbe investigated.

Different lenses and lens defocusing Changing the spot size was achieved in twoways: By varying the focal distance of the lens and by defocusing the lens. Itis noted that the error in the power density is somewhat larger due to imperfectnessof the lens and a nonlinear shape of the beam waste.

Moreover, two other parameters of importance to the CE have been studied:

Pulse to pulse separation Previous measurements [27] have shown, that a pre-pulsecan influence the conversion efficiency of the main pulse. In a pulse train thissituation may occur for every pulse, except the first. The influence of pulse-to-pulseseparation has been investigated for discrete values of 1.8, 3.6 and 7.2 ns.

Target material Different target materials have different electron configuration andtherefore different excitation and emission energies, giving different levels of ra-diation at the investigated wavelength.

For all these cases, the absolute conversion efficiency (CE) was determined. Also thetime structure was investigated using the streak camera. The target material was Cutape, unless indicated otherwise.

7.3 Results

Figure 7.1 shows the dependence of the conversion efficiency on the power density asvaried by attenuation of the beam for each of the three focal lengths used. All curvesshow a similar behaviour: The conversion efficiency increases with the power density, butabove 1014 W/cm2 the curves seem to top off. This could be an indication of a localoptimum power density, but verification required an energy in the laser pulse beyond thatof the current experimental conditions. The range of power densities of the 9 and 18 cmlens overlap, indicating that both lenses gave an approximately equal focal spot size. Therange for the 50 cm lens is somewhat smaller due to high noise levels at the lower end of

Page 100: Characterization of XUV sources

7.3 · Results 89

Figure 7.1: Dependence of the CE on power density for various lenses and beam attenu-ation schemes

the power density range. As all lenses follow the general curve, the conversion efficiencyseems to be only dependent on the power density. Larger spots with higher energy resultin similar CE values as smaller spots with low energy.

Figure 7.2: Dependence of CE on power density for different pulse duration’s (annotated)

Using shorter pulses a higher power density can be investigated, up to ∼1015 W/cm2

(Figure 7.2). The plot shows an initial increase of the conversion efficiency with increasingpower density, followed by a drop of the CE for even higher power densities. CombiningFig. 7.1 and Fig. 7.2, a local optimum is found at 2×1014 W/cm2.

The conversion efficiency varies only slightly with the pulse-to-pulse separation, asshown in figure 7.3. Due to the small number of points combined with the relatively largeerrors on the data, the dependence of the conversion efficiency is not yet clear. There

Page 101: Characterization of XUV sources

90 Chapter 7 · Optimization of picosecond sources

Figure 7.3: Dependence of conversion efficiency on the pulse-to-pulse separation (Hori-zontal line is the conversion efficiency of a single pulse)

seems to be a slight increase in CE for longer pulse separations.

Figure 7.4: Dependence of the conversion efficiency on material and power density. Thelines are drawn to guide the eye.

The material dependence is shown in figure 7.4 materials for three different lenses couldbe measured and a clear dependence of the conversion efficiency on the material and powerdensity can be seen. For figure 7.4 only comparable conditions are used, explaining thelower maximum value for the copper target. From the materials investigated, gold showsthe highest conversion efficiency. These results should be compared with results foundin Shevelko et al. [32], where longer pulses with lower power densities were used. Theyobserved increased emission where a K, L or M shell transition was close to the wavelengthunder investigation.

Page 102: Characterization of XUV sources

7.4 · Discussion 91

7.4 Discussion

From the different schemes of scanning the power density on the target (attenuation,duration, lens focal distance and lens defocusing) coherent data could be obtained aboutthe behaviour of the conversion efficiency on the power density. Combining figure 7.1 and7.2 shows an optimum power density of 2×1014 W/cm2 for copper targets. The conversionefficiency obtained at this value is 0.22%/% BW.

A certain trend can be seen in the conversion efficiency versus pulse-to-pulse separationplot (Figure 7.3). For somewhat longer separation, the conversion efficiency seems toincrease. Even at separations of more than 7 ns, some influence of the pre-pulse is visible(At infinite separation the CE should drop to the level of a single pulse). The targetconditions in the second and subsequent pulses are thought to be beneficial for the plasmageneration process, leading to an enhanced emission using a pre-pulse. For differentmaterials the conversion efficiency follows the general trend already found in previousresearch at lower power density [32], although at lower efficiencies then for the pulsesat longer pulse durations. Gold has the highest conversion efficiency (0.22%/% BW at2.5×1014 W/cm2) under similar conditions, but the lack of variation of materials in thisinvestigation leaves room for further material optimization at these power densities (likeGe and Re for 1012 W/cm2, see figure 7.4).

7.5 Acknowledgements

We gratefully thank N. Takeyasu, S. Huntingdon and the supporting staff of RAL fortheir assistance in operating the laser and the building of the set-up. E. Louis andN.N. Salashchenko are acknowledged for the supply of the multilayer EUV mirrors andA.G. Michette and S.J. Pfauntsch for sharing their beam time at RAL with us. Thiswork was financially supported by the LSF at RAL, the EC and FOM (the Foundationfor Fundamental Research on Matter), STW (the Netherlands Technology Foundation)and INCO-Copernicus.

Page 103: Characterization of XUV sources
Page 104: Characterization of XUV sources

Chapter 8

Spectral and spatial characteristicsof XUV radiation due to a

Laser-Plasma Wall Interaction

8.1 Abstract

Laser produced plasmas were created on solid CF2 and Al targets by a KrF excimerlaser (248 nm/1 J/25 ns). The spectral and spatial structure of XUV radiation fromthe interaction of these plasmas with Ta surfaces was studied in detail. The Ta wallwas positioned in the path of the plasma expansion at various distances between 0.5 and3.5 mm. Spatially resolved XUV spectra of the medium charged ions were studied usinga compact grazing incidence spectrometer. A back-illuminated CCD camera was used asa detector.

The electron temperature on the targets was approximately 40-70 eV. Intense radiationnear the wall was observed, caused by an increase in electron density and temperaturein the shock wave in the stagnation zone formed in front of the wall. The dependence ofthe emission of the target-wall distance was different for F and Al. The emission for Fwas dominated by excitation due to the increase in temperature and density. A similarbehaviour to that of F was observed for Al V - Al VII, but for Al IV the emission wasdominated by recombination: in front of the shockwave at the wall, the intensity forAl IV lines decreased, while at the shockwave only a limited increase in the intensity wasobserved.

8.2 Introduction

In almost all experiments where a plasma is created, this plasma will interact with thewalls of the surrounding system. The interaction of the plasma with the wall gives rise totwo—linked—processes. In most applications, the influence of the plasma wall interactionon the bulk plasma is noted mainly due to the sputtering of materials from the wall, whichleads to impurity injection into the plasma volume. The influence of these impuritiesranges from enhanced plasma emission and consecutive cooling of the plasma [98, 99] tochanged plasma deposition conditions [100]. Simultaneously, the interaction of a plasmawith a wall gives rise to modification of the plasma parameters. These modifications

93

Page 105: Characterization of XUV sources

94 Chapter 8 · Laser-Plasma Wall Interaction

range from a sudden increase in electron temperature and density to rapid cooling andrecombination and are used as a source of intense x-ray radiation [101, 102, 103] and forenhanced population inversion in x-ray lasing experiments [104, 105, 106, 107, 108, 109,110, 111, 112].

A controlled method for generation of various plasma-wall interaction processes can beobtained by positioning a solid surface in the path of the laser-produced plasma (LPP).Laser plasma-wall interaction (LPWI) has been observed for the first time by Presnyakovet al. [101] and was further investigated by Bioko and others [105, 106, 107, 102, 103, 113].In general, these investigations were performed at high temperatures (>200 eV) andionization stages >10+, while for a number of applications especially lower temperaturesand ionization stages are of interest. One example is in plasma sources, currently beingdeveloped for Extreme UV Lithography, where mechanical components of the source areplaced close to the hot plasma core, with a temperature of 30-100 eV. Both in electricaldischarge sources [86, 68], where the walls of the discharge volume are located close tothe plasma, as well as in LPPs [51], where the nozzle elements are close to the plasma,the interaction of the plasma with these components leads to erosion and contaminationof the source environment. With the strict requirements set by EUVL on the componentand optics lifetime of more than 1011 pulses, source contamination needs to be minimized.A second application is plasma-wall interaction in divertors, designated regions in a fusiondevice, where the hot plasma can interact with the wall. At a plasma temperature in thenear-wall region of <15 eV [98], processes similar to processes seen in LPWI [114, 115, 116]take place. Ablation processes causing an inflow of material into the bulk plasma [117, 118]and changes in plasma structure and dynamics caused by the wall [99] can be studied withLPWI under carefully chosen laser- and target conditions.

Shevelko et al. [113] investigated the spatial structure of the plasma wall interactionusing a Nd:YAG laser (532 nm, 0.2 J, 3 ns). A LPP was generated with a temperature upto 195 eV and high ionization stages (Z∼10), mainly emitting in the soft x-ray region. Theplasma-wall interaction in the divertor of a tokamak involves a plasma with a temperatureup to 15 eV, where the average ionization is lower. Radiation from such a plasma ismainly emitted in the XUV, (V)UV and visible light, while also sources for EUVL arebeing optimized for the XUV region. In this paper we studied the plasma conditions inLPWI and the dynamics of the plasma expansion.

8.3 Experimental

The setup used for the LPWI generation is shown in Fig. 8.1. An LPP was generatedon a solid material by focusing a 25 ns, 248 nm (KrF) excimer laser pulse of 1 J energy[14]. The laser beam was incident at 45 with respect to the target surface resulting inan oval focal spot of 30×40 µm2. The power density on the target was 2×1012 W/cm2.Under these illumination conditions, a temperature of up to 60 eV could be reached [32].A wall was placed at a variable distance r to the LPP. In order to prevent part of thelaser light which was reflected from the surface to interact with the wall, the width ofthe wall was reduced to 0.5 mm. The limited width of the wall caused the wall emissionintensity to reduce with ∼ r−2, with r the distance between the wall and the plasma, due

Page 106: Characterization of XUV sources

8.3 · Experimental 95

Figure 8.1: Schematic of the laser plasma-wall interaction.

to the reduced solid angle of the expanding plasma. The target materials were CF2 andAl, selected in order to study the distinct line spectrum of these light elements. The wallmaterial was Ta, chosen for its small amount of ablation.

Figure 8.2: Setup of the grazing incidence spectrograph in off-Rowland geometry, withadditional imaging slit.

The experimental setup is shown in Fig. 8.2. The XUV spectra were investigated usinga grazing incidence spectrometer, containing a spherical grating (1 m radius of curvature)in off-Rowland geometry [32]. The grating allowed for imaging the entrance slit, bothfor the 0th order, as well as for the 1st order diffraction, though with curved focal plane.The CCD camera was positioned perpendicular to the optical axis of the system in thefocal plane of a pre-selected wavelength, with other wavelengths a slightly defocused. Anadditional aperture slit was positioned to reduce the off-axis line broadening. By placinga slit in the spectrograph, pinhole imaging was done in the direction perpendicular tothe diffraction direction. The spectral and spatial directions were carefully aligned suchthat the spectrum of the plasma was obtained as a function of the distance to the target.A 600 l/mm Au coated grating was used in the spectrometer. The wavelength range ofregistration was ∆λ = 6 − 20 nm. The entrance slit (20 µm width) was positioned at1.57 cm from the plasma. The additional aperture slit (250 µm width) was positionedat 3.83 cm from entrance slit. With this combination of slits, a width of 100 µm in

Page 107: Characterization of XUV sources

96 Chapter 8 · Laser-Plasma Wall Interaction

the plasma-wall region was integrated. Over the spectral range investigated, the spectralresolving power varied from λ

δλ= 90 at the long wavelength range of the spectrum, limited

by off-Rowland positioning of the CCD camera, to λδλ

= 100 at the short wavelength side,limited by the resolution of the CCD camera. The imaging slit was 20 µm, with a plasma-slit distance of 6.1 cm and a slit-CCD distance of 16.2 cm. We obtained a magnificationof 2.7. From measurements of the wall position of different images with known target-walldistance, a magnification of 2.66 was found, which coincides with the above measurement.

The spatial resolution of the spectrographic system was determined by diffraction andgeometry [119]. The minimum spot size given by the geometrical properties is given by

∆Xgeometrical =

(

1 +1

M

)

d, (8.1)

with M the magnification and d the pinhole size. Similarly, the diffraction at the slit isgiven by

∆Xdiffraction =2.4λD

d, (8.2)

with λ the wavelength of interest and D the distance between slit and camera. In ourexperimental conditions, the geometrical resolution is 30 µm and the resolution given bydiffraction ranged from 32 µm at λ = 20 nm to 106 µm at λ = 6 nm. The total resolution,

given by ∆Xdiffraction =√

∆X2geometrical + ∆X2

diffraction, ranged from 43 to 110 µm in the

wavelength range from 20 to 6 nm.The images were recorded on a back-illuminated CCD camera with 512×512 pixels of

25 µm square. In the range of wavelengths investigated, the sensitivity of the CCD camerawas estimated to be 20 to 50%, based on comparison with a calibrated photodiode.

8.4 Results

8.4.1 Line identification

Fig. 8.3 and Fig. 8.4 show the different spectra as a function of the target-wall distancefor CF2 and Al respectively. The dominant lines for CF2 in the target region were assignedto F VII (ionization potential of 185.2 eV)) and F VI (157.2 eV). These lines were againvisible in the wall spectrum at smaller target-wall distances, below 2000 µm. At largerdistances the lines of F V (114.2 eV), clearly visible at 16.5 nm, became dominant. No linesof C were observed in the spectra recorded from CF2. From the ionization stages observed,an estimate was made of the electron temperature in the plasma. According to the coronalequilibrium model [120], the stages F VII and F VI corresponded to a temperature in theLPP of 50 − 70 eV. Mainly lines of Al VI (190.5 eV) and Al V (153.8 eV) were observedin the Al target spectrum, as well as a few strong lines of Al VII (241.8 eV). With theselines present in the spectrum, the coronal equilibrium model [120, 121] gave an estimatedtemperature of 40 − 50 eV. This is in agreement with measurements reported by Shevelkoet al. [32], taken with the same laser system, though at a slightly higher power densityon target. At a target-wall distance of 1000 µm, a similar spectrum was observed in thenear-wall plasma as in the target area. For larger target-wall distances the lines of Al IV(120.0 eV) became more prominent. It is noted that beyond ∼14 nm, line-ratio analysisrequired careful subtraction of the 2nd order background, which was especially clear forAl in Fig. 8.4.

Page 108: Characterization of XUV sources

8.4 · Results 97

Figure 8.3: The CF2 spectrum from an area close to the target and the CF2 spectrumnear the wall for the target-wall distances of 1, 1.5, 2, 2.5 and 3 mm. Indicated withinbrackets is the magnification of the different spectra.

8.4.2 CF2

Fig. 8.5 shows the integrated intensity of F emission lines for various target-wall distances,subdivided in the integrated intensity from high (F VII and F VI) and low (F V) ionization

Page 109: Characterization of XUV sources

98 Chapter 8 · Laser-Plasma Wall Interaction

Figure 8.4: The Al spectrum at the location of the target and the Al spectrum near thewall for the target-wall distances of 1, 2.2, 2.7 and 3.5 mm. Indicated within brackets isthe magnification of the different spectra.

stages. The intensity curves are considered to be composed of the integrated emission fromthe unperturbed plasma, and the influence of the wall area. The wall positions for the

Page 110: Characterization of XUV sources

8.4 · Results 99

Figure 8.5: The integrated intensity as a function of distance in two wavelength bandsfor the expanding F plasma. Plotted are the integrated intensity in the 6-15 nm region,representative for F VII and F VI and the integrated intensity in the 17.5-19.0 nm region,representative for F V. Also indicated are best fits through the unperturbed parts of theplasma expansions (curves a and c) and the peaks of emission in the near-wall zone (curvesb and d).

different experiments are indicated by the vertical markings. The unperturbed plasmaintensity showed an r−3 dependence for the higher ionization stages (curve a) and a r−2.4

for the low ionization stage (curve c). When correction for the integration, performedalong the line of sight, the radiation density had distance dependences of r−4 and r−3.4

respectively, assuming an optically thin plasma. This assumption is valid at distances>∼ 150 µm, where the density has dropped sufficiently. The decay rate r−4 is consistentwith adiabatic expansion of the plasma [122, 123]. The lower states are less sensitive dueto the recombination of the higher ionization states to the lower.

Shockwave formation in the near-wall region due to plasma stagnation caused anincreased density and temperature [124], which was concluded from the enhanced emissionof the plasma. The structure of a shockwave, as depicted in Fig. 8.6, caused a strongjump in density and temperature. Due to the high electron-heat conductivity in theplasma, any gradient in the temperature is smoothed out over a larger volume. This canbe observed as an increase in temperature, even further away from the wall, in front ofthe shock wave.

The decay in the near-wall region was similar for higher ionization stages (F V -F VII) as for the lower ionization stage (F IV). The near wall intensity shows a decayof the form r−2e−r/r0 for both the high (curve b) as well as the low (curve d) ionizationstages. The value of r0 was ∼850 µm for the high ionization stages and ∼1400 µm for thelow ionization stages. The decay distance can be translated to an decay time by usingthe expansion velocity of the plasma. According to Fabbro et al. [125], the expansionvelocity, vexp, is given by

√γc0, with c0 the sound velocity given by c0=

ZTe/mi andγ the coefficient for adiabatic expansion. The calculated expansion velocity, taking themeasured temperature and ionization stage according to 8.5, was ∼6×106 cm/s. Theabove distances can be translated to decay times of ∼14 ns and ∼23 ns for the high andlow ionization stages respectively.

A detailed comparison of the line ratios between F VII, F VI and F V (Fig. 8.7) showed

Page 111: Characterization of XUV sources

100 Chapter 8 · Laser-Plasma Wall Interaction

Figure 8.6: The structure of a shockwave, located at Z0. Plotted are both the electrontemperature (T e) and electron density (ne).

Figure 8.7: Line ratios between the strong resonance transitions in F VII (2p-3d at12.8 nm), F VI (2p2-2p3d at 14.8 nm and 2s2p-2s3d at 14.1 and 15.8 nm) and F V(2s22p-2s23d at 16.9 nm and 2s22p-2s23d at 18.2 nm). The symbols at distance 0 indicatethe line ratios of the target plasma.

that while the ratio between F VII and F VI was much less dependent of the target walldistance than the line ratio between these stages and F V. A decrease of 9 − 18× of thehigher ionization stages with respect to F V was observed. The temperature in the nearwall region is sufficiently high to re-excite even the F VII and F VI ions. The excitationrate, and therefore the emission according to Zeldovich [126] should be proportional toe−E/kT , with E the excitation energy of the emission line. For the higher states, at 190and 157 eV, the excitation rate is significantly more sensitive to the temperature than forthe lower state, at 114 eV.

8.4.3 Al

The integrated intensity for Al, as a function of distance in two selected wavelengthbands is shown in Fig. 8.8. For the high ionization stages of Al V - Al VII, the integratedintensity of the unperturbed plasma (curve a) decayed with r−2.7, corresponding to a r−3.7

decay in intensity when corrected for the integration over the line of sight. The intensityin the near wall region of the Al V - Al VII lines (top curves) showed a strong decreasein intensity. Curve b shows an intensity decay of the form r−2e−r/r0 , with r0 ∼600 µm.

Page 112: Characterization of XUV sources

8.4 · Results 101

Figure 8.8: Integrated intensity as a function of distance for the plasma near the targetand plasma near the wall for Al, divided into two wavelength bands: the top curvescorrespond to the 6 − 11 nm band associated with Al V up to Al VII, while the lowercurves, indicating the 15 − 16.5 nm band, are associated with Al IV. Also indicated arebest fits through the unperturbed part of the plasma expansions (curve a) and the peaksof emission in the near-wall zone (curves b).

With an expansion velocity for Al of ∼4×106 cm/s, this corresponded to a decay time of∼15 ns.

A essentially different behaviour in the distance dependence of the emission was seenfor Al IV. After an initial decrease in the target region, the intensity of the unperturbedplasma became independent of the distance and then increased beyond 1000 µm. Thisdistance corresponds to the distance the expanding Al plasma travelled during the laserpulse duration. Taking into account the correction for the integration over the line of sight,the radiation density decreased only slowly as a function of distance and the intensityintegrated over a full expanding shell increases as a function of distance, even thoughthe total density decreased with ∼ r−2. The emission displays two regimes during theexpansion, given by two processes taking place in the plasma: At high temperaturesexcitation, proportional to e−E/kT , is the dominant process. At lower temperatures onlyrecombination, which has a strong negative temperature dependence (∼ neT

−9/2e ), can be

observed. For a strong decrease in temperature, the loss in radiation due to the reduceddensity can be compensated by an increase due to the strong temperature dependence ofthe recombination rate. The difference in decay rate between the higher stages of Al andAl IV is further enhanced due to the absence of a decay channel for Al IV: the ionizationenergy of Al III, 28.4 eV, is much lower than for Al IV. At the temperatures existing inthe plasma the ionization balance will be shifted to Al IV.

Only at a target-wall distance of 1000 µm, an increase in the wall intensity withrespect to the unperturbed plasma intensity was observed. At larger target-wall distances,initially a decrease with respect to the unperturbed plasma was observed. This decreasewas identified by Shevelko et al. [113] as the “foot of conductivity”, again given by (threebody) recombination: some distance in front of the wall the temperature increased (Fig.8.6), leading to a decrease in recombination rate. At the shockwave boundary the densityincrease caused enhanced recombination, leading to an increase in emission by the plasma.

Page 113: Characterization of XUV sources

102 Chapter 8 · Laser-Plasma Wall Interaction

Figure 8.9: Line ratio between the strong resonance transition in Al VI (2s22p4-2s22p33sat 10.1 nm), Al V (2s22p5-2s22p43s at 13.1 nm) and Al IV (2s22p6-2s22p53s at 16.0 nm).The symbols at distance 0 indicate the line ratios of the target plasma.

Fig. 8.9 shows the intensity ratios between the strong 2p-3s transitions in Al VI, Al Vand Al IV. All three line ratios (VI/V, VI/IV and V/IV) showed a strong decrease asa function of the distance, which indicated a strong decrease in temperature for largerdistances. At larger distances the emission in the lines of the higher ionization stagesdecreased. At a distance of 2000 µm the increase in density in the shock wave wassufficient to enhance the emission of the Al VI and Al V lines, but beyond 2000 µm thedecrease in recombination rate, caused by the increased temperature in the shockwave,could not be compensated by the increase in density.

8.5 Conclusion

For the first time, the spectral and spatial structure in a Laser Plasma-Wall Interaction(LPWI) were studied in the XUV wavelength region. The laser produced plasmas (LPPs),created on massive solid CF2 and Al targets by a KrF excimer laser, reached an electrontemperature of about 40 − 70 eV, as determined by the distribution of ionization stages.Intense radiation was observed in the near-wall region. This was attributed to a jumpin electron density and temperature caused by a shockwave which was created by thestagnation of the expanding plasma in front of the wall. For all observed ionization stagesin F (F V, VI and VII) and the higher ionization stages in Al (Al V, VI and VII), theemission of the unperturbed plasma showed a decrease in intensity according to r−3.4···−4.0,consistent with adiabatic expansion of the plasma. The peak intensity in the near-wallregion was given by r−2e−r/(600···1400µm). For the lowest observed ionization stage of Al,Al IV, the emission in the unperturbed plasma was approximately independent of thedistance to the target. A clear decrease in intensity was observed in the area in front ofthe shockwave, with an increase at the high density part of the shockwave in the near-wallregion. This phenomenon was attributed to the recombination-dominated behaviour ofAl IV.

Page 114: Characterization of XUV sources

8.6 · Acknowledgements 103

8.6 Acknowledgements

This research was part of the programme of FOM, the Dutch organization for Funda-mental Research on Matter, and was sponsored by STW (Technology Foundation) in TheNetherlands. The author gratefully acknowledges the discussions with dr. A.P. Shevelkoand dr. K. Koshelev.

Page 115: Characterization of XUV sources
Page 116: Characterization of XUV sources

Part III

Applications

105

Page 117: Characterization of XUV sources
Page 118: Characterization of XUV sources

Chapter 9

Low-Energy XRFMicro-Distribution-Analysis

9.1 Abstract

In this paper a new technique is presented for Low Energy X-Ray Fluorescence Micro-Distribution-Analysis (LE-XRF-MDA) of low-Z elements at micrometer spatial resolu-tions. The technique is based on the use of a laser plasma X-ray source and sphericallycurved multilayer optics. A large collimator is used to focus the light from the laser plasmaon the sample and a Schwarzschild mirror set is used to image the fluorescent radiation ona 2D CCD unit. A first system, now under development, is designed for detection of theCarbon Kα-line. The system consists of a Cr/Sc collimator of 260 mm diameter, focussing0.7 sr of the light from the plasma on the sample, and a Fe/C Schwarzschild mirror setwith a 20× magnification for detection of the Carbon in the sample. A resolution in themicrometer range is expected to be achievable, with a detection limit of a few percent.Upgrading of this system is expected to result in sub-micron resolution and a detectionlimit in the ppm range.

9.2 Introduction

Many non-destructive techniques exist for surface analysis of the composition of materials.Among these are EPMA (Electron Probe Micro-Analysis), PIXE (Proton Induced X-rayEmission) and XRF (X-ray Fluorescence analysis). However, most of these techniques areprimarily appropriate for the detection of fluorescence lines at energies of several keV’s,thereby addressing the medium to high-Z elements. Although high resolution, down tothe micrometer range, has been obtained by scanning XRF systems using a well-focused(pencil) beam of synchrotron radiation, this method involves a centralized, large-scalemeasurement facility.

Recent advances in the development of laser plasma x-ray sources and multilayer opticsenable a different way of XRF analysis suitable for the detection of sub-keV fluorescencelines of low-Z elements. The principle of the technique consists of excitation of an elementwith a photon energy a few eV higher than the photon energy of the fluorescence line,i.e. just above the corresponding absorption edge, where cross-section for absorption is

107

Page 119: Characterization of XUV sources

108 Chapter 9 · Low Energy-XRF-MDA

Material Energy of Conversion Penetration Relative Reflectivity Relative

fluorescence efficiency depthb fluorescence of 3 intensity online plasmaa (nm) yield mirrors detector(eV) (Jx/Jlaser sr eV shot) (C=1) (%) (C=1)

Be 109 3.6 10-3 26 0.25 21 5.4×103

B 183 6.0 10-4 30 0.38 0.3 19C 277 5.9 10-5 60 1 0.06 1N 392 6.8 10-6 130 2.5 0.07 0.34

O 525 5.5 10-6 260 6.5 2.0×10−4 2.0×10−3

aAt 1013 W cm−2, data obtained by L.A. Shmaenok (unpublished).bOn pure gold at normal incidence (calculated).

Table 9.1: Experimental data on a number of low-Z Kα fluorescence lines under compa-rable conditions

highest. Although the fluorescence yields are generally lower for the lower fluorescenceenergies, as compared to the fluorescence yield for lines at higher energies, the cross-sections for soft x-ray photon excitation are much larger. For carbon for example, thecross-section for photo-absorption is 104× higher at 300 eV as compared to 8 keV. Byusing normal incidence multilayer optics, high throughput can be obtained while at thesame time high magnifications (typically 10 − 30×) can be achieved at a reasonableresolution. The use of a back-illuminated CCD detector gives both imaging over a largefield on the sample at a high resolution and high sensitivity at short exposure times. Thelines of interest for this technique are predominantly the K-shell fluorescence lines of thelighter elements (Li...Mg) and the L-shell fluorescence of the somewhat heavier elements(Al...Ga). LE-XRF is generally a surface sensitive technique, probing only the top layerof a material. In the range from Be up to O, the penetration depth varies between 50 and250 nm (table 9.1).

A number of practical applications require knowledge on the spatial distribution of low-Z elements. This is the case in semiconductor industry, where most of the elements usedare within the low Z regime (Z < 16). For example migration of a doping material throughthe substrate material, as well as the distribution of polluting elements [7] drasticallyinfluences the properties of the electronic device. Another application is the study ofcatalysts for usage in oil refineries. During the refining process, certain parts of thecatalyst are polluted by carbon deposition, rendering the catalyst useless. Understandingof the position of carbon deposition will enable the construction of improved catalysts.Other applications of MDA of the low Z-elements are the investigation of structures ofboron on silicon surfaces [8], and studies on BeF2 in glasses for ultra-low-loss fibre optics[127]).

Partly stimulated by other practical applications of laser plasma sources, like EUVLithography, the average laser power of application-specific lasers has increased severalorders of magnitude, up to the kilowatt level [128]. In some large-scale research facilities,peak power densities up to 1020 W/cm2 (e.g. Titania, CLF, RAL) have been reached,resulting in the generation of x-rays with energies up to several MeV. Laser plasma x-ray sources are now becoming easier to maintain and have increased efficiency of theconversion of laser photons into soft x-rays, while the inherent pollution by the plasma isbeing reduced to levels acceptable even for demanding applications. With these advances,laser plasma sources with high average (soft) x-ray power have come within the reach ofsmall laboratories, both in price and size. Also the development of optics for the softx-ray region has been boosted, e.g. by EUVL research and x-ray microscopy. Multilayermirrors can now be made to reflect up to 67%-68% [129, 130] near the Si-LII−III line, whilereflectivities of more than 10% at the C-Kα line have been obtained [131], all for normal

Page 120: Characterization of XUV sources

9.3 · Experimental technique 109

incidence optics. A combination of these technologies enables the design of an LE-XRFsystem with a sensitivity comparable to other elemental analysis techniques, with the useof a laser plasma source keeping the set-up compact and relatively low-cost.

In the pilot experiment described in this paper the imaging of the fluorescence ofcarbon surface structures of micrometer resolution has been set as a goal. The excitationof carbon is done at 292 eV, just above the K-shell absorption edge (284 eV), after which anx-ray microscope, sensitive for the C-Kα fluorescence line (277 eV) images the distributionof emission across the sample surface. A monitor mirror next (274 eV) to the fluorescenceline is used for background substraction (Figure 9.1). From the distribution of intensities,the distribution of the carbon concentration across the surface can be calculated.

Figure 9.1: Three simulated mirror reflectivity curves for the detection of the C-Kα flu-orescence line (277 eV). From left to right: monitor mirror (274 eV), analyser mirror(277 eV) and collimator mirror (292 eV). Dotted: photo absorption cross-section of C,neglecting near edge fine structure.

9.3 Experimental technique

The experimental set-up can be divided in two parts (Figure 9.2). The first part isintended for high intensity illumination of the sample by focusing radiation of a laserplasma x-ray source with a curved multilayer mirror collimator. The second part serves todetect the fluorescent radiation emitted from the illuminated area, with spatial resolution.It is noted that other geometries with larger spatial separation of the two parts arepossible, enabling further suppression of stray light and particle contamination from thelaser plasma source.

Page 121: Characterization of XUV sources

110 Chapter 9 · Low Energy-XRF-MDA

Figure 9.2: Experimental layout, consisting of an illumination (laser plasma, foil trap andcollimator) and a detection section (Schwarzschild set and CCD camera).

9.3.1 Illumination system

The laser plasma source is generated by a KrF excimer laser (LPX-350, 248 nm, 1 J,25 ns, 50 Hz) also used in experiments on EUV lithography near 13.5 nm [40]. The laserbeam is focused into a 30 µm spot on a tantalum disk, providing a power density of up to3×1012 W/cm2. These conditions are known to result in a plasma with a temperature of100 eV and an intense quasi-continuous emission spectrum reaching up to several hundredelectronvolts [32] . The source is designed to maximally reduce contamination of the opticsand sample by target material ablated by the laser pulse, generally consisting of atoms,clusters and larger fragments (“debris”). The techniques used for suppression of migrationof all debris components include:

1. Fast rotation of the target disk to redirect the relatively slow and predominantlylarger fragments, by giving a directed initial velocity to these particles [70]. In ourLE-XRF system a target disk with a diameter of 5 cm has been used with a velocityof 600 rotations per second, i.e., an edge velocity close to 100 m/s. By optimizingthe disk orientation, a spatial angle can thus be created free of the larger, slowerdebris fragments.

2. “foil trap”- an assembly of foils positioned near the source along radial directionsin a buffer gas (figure 9.2). This effectively eliminates atoms and small clustersof atoms [27]. The trapping effect is achieved due to collisional retardation (foratoms even thermalization) and scattering of particles in the gas with subsequentdeposition of these particles on the foils. Nitrogen has been selected as a buffer gasdue to its high transparency for 300 eV photons. Given the optical path length inour system (∼ 1 m), a pressure of several millibars can be allowed, sufficient for

Page 122: Characterization of XUV sources

9.3 · Experimental technique 111

full thermalization of fast debris atoms in a gas layer of a few centimetres. The foiltrap consists of 40 stainless steel foils of 40 by 200 mm, with a thickness of 120 µm,kept in a fan-like geometry by rigid holders. With a foil separation of 1 mm at theplasma facing side, the trap system spans a solid angle of 1 sr, at a double-pass (toand from the collimator) optical transparency of 80%.

The collimator is a multilayer coated spherical mirror of 260 mm diameter and a radiusof curvature of 270 mm. The mirror was made by magnetron sputtering deposition of120 bi-layers of Cr/Sc, with a period of 2.15 nm on a fused silica quartz substrate. Themeasured reflectivity of this coating amounted to 4.4% in a 0.033 nm band (FWHM) at4.28 nm, which corresponds to an interface roughness of the coating of about 0.4 nm.The collimator is positioned at a distance of about 270 mm from the laser plasma sourceresulting in a collection angle of 0.7 sr or ∼10% of the radiation from the plasma. Thus,0.5% of all plasma radiation near the central wavelength of 4.28 nm is focussed onto thesample, assuming an isotropic angular distribution of the source intensity.

9.3.2 Fluorescence detection and analysis system

The detection and analysis system currently under construction, consists of a 20× Schwarz-schild multilayer mirror microscope with geometrical parameters equal to the Schwarz-schild set described by Artioukov et al. [132] and a filtered CCD unit. The mirrors of theSchwarzschild set are coated for the C-Kα line by applying 120 bi-layers of Fe/C with ad-spacing of 2.25 nm. The peak reflectivity of a single mirror of the microscope is about10%, resulting in a 1% transmission of the two-mirror system. It is noted that the choiceof a Fe/C coating will give some extra background due to fluorescence of the carbon in themirror, but no errors in imaging result as the radiation from the mirror is not focussedon the detector. The increase in background by this effect is expected to be at least109× lower than the fluorescence signal, for a pure carbon sample. However, a bettersuppression of radiation with an energy higher than the carbon K-edge is achieved, dueto higher absorption, increasing the contrast between the part of the incident radiationscattered on the sample surface and the fluorescence radiation from the sample itself.The microscope collection angle is about 0.1 sr, allowing for multiple microscopes withdifferent central wavelengths to be used simultaneously for the study of multi-elementfluorescence analysis. A second mirror with a slightly different d-spacing, just next tothe fluorescence line is used for determination of background levels of scattered radiation.The expected reflectivity curves of both the analyzer and the background monitor havebeen plotted in figure 9.1, taking into account the roughness of the substrates used.

Currently the illumination system is being characterized and first measurements havebeen done on the beam profile at a position behind the foil trap. Figure 9.3 shows theimage of an x-ray beam cross-section close to the focus on the sample. This image isused for alignment of the foil trap system by x-rays. When aligned properly, the actualfocus is more homogeneous and the foils are no longer imaged in the focal plane. Fromthis figure, we conclude that the maximum illuminated area on the sample is less than1 mm2. By placing a calibrated and properly filtered photodiode in the focus of thecollimator, the illumination system is measured to deliver 109 photons per shot on thesample at an energy of 292 eV in a bandwidth of 2.5 eV. This value was obtained at alaser power density on the target of 3×1012 W/cm2 on target at an energy of 1 J/pulse.

Page 123: Characterization of XUV sources

112 Chapter 9 · Low Energy-XRF-MDA

Figure 9.3: First cross-section of the x-ray beam after the collimator. After final alignmentof the foil trap system its shadows are expected to disappear.

The measured intensity corresponds to a conversion efficiency (Elaser/E292 eV) of the laserplasma of about 2×10−6 in 1 eV bandwidth with the current plasma heating conditions.Using the debris suppression techniques mentioned above, the deposition rate on thecollimator mirror in the current set-up is reduced to 9×10−5 nm/shot, which would reducethe reflectivity by 50% after 2×105 shots. This was measured using an angular Cu-Kα scan of a test sample positioned after the foil trap system, giving about 15 nm ofmaterial deposited after 105 shots. Deposition on the sample could not be measured andis therefore at least a factor of two lower than deposition on the mirror, leading to adeposition of less than 1 nm for an illumination within 104‘shots. In this case, errors dueto deposition of material from the laser plasma (Ta) can be neglected as absorption dueto deposition will only give a small error on the sampling depth and no contribution tothe fluorescence signal. The expected spatial resolution based on the current choice of theCCD unit and magnification of the Schwarzschild set is about 1 µm. Taking the currentsource conditions, which are by far not optimal for generation of x-rays near 300 eV, anexposure time of about 600 s is needed to detect 5% carbon at a resolution of 5 µm.

9.4 Outlook

Although the current results already give a good prospect on a feasible XRF-MDA set-up for the detection of carbon, a number of points, especially on the x-ray illuminationintensity and pollution suppression can be further improved. In addition to the presentresults on the debris reduction techniques (lifetime >2×104 shots) we mention that alifetime of one billion shots has been achieved in similar experiments with a laser plasmax-ray source for lithography [27]. Also non-solid laser targets, like gas jet targets [133] anddroplet targets [134] are being investigated. However, the freedom of choice of solid targetmaterials, most efficient at the wavelength range of interest, remains an advantage of thepresent approach. The power density of 3×1012 W/cm2 achieved on target, sufficientfor the generation of lower energy photons (∼100 eV), is to be increased for efficientgeneration of higher energy photons. The current system achieved a maximum yieldof 5×1010 photons/s. Further laser pulse upgrades, leading to a power density greaterthan 1013 W/cm2, are expected to increase the x-ray output at 300 eV by at least anorder of magnitude, as measured using another laser system (table 9.1). A considerablecapacity in performance of the multilayer optics is connected to the quality of substrates,in particular the surface roughness. The latter parameter strongly affects the mirror

Page 124: Characterization of XUV sources

9.5 · Conclusion 113

reflectivity: decreasing the interface roughnesses of the collimator and Schwarzschild setto 0.2 instead of 0.4 nm will increase the throughput of the three mirror system by10×. A lower roughness also reduces the reflectivity of the peak side bands of the mirrorreflectivity therefor reduces the background levels. With an increased yield of the plasma,together with improved optical components with higher reflectivity, a gain of about 100could be achieved, leading to the detection of 5% carbon with a resolution of 5 µmin 6 seconds. Another point to be addressed is the homogeneity of the beam on thesample. Although the system was not fully aligned during the taking of the first x-rayimage of the beam cross-section of the illumination system, it shows some irregularitiesin the beam profile, partly caused by the misalignment, partly by imperfections in thecollimator. These issues are likely to be resolved by improved alignment or improvedhomogeneity of the collimator coating. In first experiments carbon structures depositedin a controlled environment will be used to characterize the system, but at a later stagealso carbon structures will be studied which are found in more industrial situations, likethe carbon deposition in catalysts. In these circumstances the fine-structure of the carbonedge becomes important and needs to be studied in detail.

9.5 Conclusion

Described in this paper are the design and the first phases of construction and charac-terization of an experimental facility for low-energy x-ray fluorescence micro-distributionanalysis (LE-XRF-MDA), presently being developed for analysis of C. The new techniqueincludes illumination of the sample with x-ray radiation from a laser plasma source us-ing a large multilayer mirror collimator and analysis of the distribution of fluorescenceradiation across the sample surface using a multilayer Schwarzschild optic. Lifetime ofthe collimator, closest to the laser plasma source was determined to be 2×105 shots. Theintensity on the sample (109 photons/shot at a photon energy near the C-K edge) wasmeasured and first images of the alignment of the foil trap system have been presented.Improvement of various components of the current set-up would enable a decrease in ex-posure time, adequate for detection of 5% carbon at a resolution of 5 µm, from 600 downto 6 seconds.

9.6 Acknowledgements

This work was financially supported by FOM (the Foundation for Fundamental Researchon Matter), STW (the Netherlands Technology Foundation) and the EC programmeINCO-Copernicus (# IC15 CT97 707).

9.7 Addendum

Further LE-XRF-MDA experiments were performed at the B-K line to overcome the lowefficiency of the current laser plasma for the generation of radiation around the C-Kedge. The conversion efficiency from laser light into XUV radiation at the B-K edge was∼ 10−5, indeed 10× higher than for C. The microscope was rebuild for B and tests wereperformed using boron-silicate samples. No radiation from the samples was detected at a

Page 125: Characterization of XUV sources

114 Chapter 9 · Low Energy-XRF-MDA

sensitivity of 1000× below the intensity expected from the fluorescent line. The expectedintensity was based on measured optical throughput and simulated fluorescence yield.The absence of a measurable yield might for a large part be caused by the proximityof the centroid energy of the collimator mirror (see Fig. 9.2, 190 eV) to the absorptionedge of B at 187 eV. When using a sample of B4Si, a shift of the absorption edge bymolecular bonding of B to the Si of 3 − 4 eV can occur, similar to the shift observed in Siin Fig. 4.4, which would decrease the absorption by the sample up to a factor 10. Such ashift of the absorption edge by molecular binding is crucial when working with LE-XRF.Even though the production of a multilayer collimator at a slightly higher energy was notpossible for the manufacturer at time of production, the higher energy is needed to allowfor shifts in the absorption edge. Simultaneously, test are still to be performed with thefluorescence of pure B to verify the cause of low fluorescence yield.

Page 126: Characterization of XUV sources

Bibliography

[1] http://www.lanl.gov/tools/acronyms/AML.html (2002).

[2] J. Samson, Techniques of vacuum ultraviolet spectroscopy (John Wiley & Sons, Inc,New York, 1967).

[3] J. Boyce, Revs. Mod. Phys 13, 1 (1941).

[4] R. Tousey, J. Opt. Soc. Am. 52, 1186 (1962).

[5] B. Fay, Microelectronic Engineering 61-62, 11 (2002).

[6] E. M. Gullikson, http://www-cxro.lbl.gov/multilayer/survey.html, 2002.

[7] P. Pianetta et al., Rev. Sci. Instrum. 66, 1293 (1995).

[8] R. Cao, X. Yang, and P. Pianetta, J. Vac. Sci. Technol., B 11, 1455 (1993).

[9] R. Gontin, EUVL Source Workshop, March 2002, Santa Clara (2002).

[10] V. Banine, J. Benschop, M. Leenders, and R. Moors, Proc. SPIE 3997, 126 (2000).

[11] R. H. Hughes, T. A. Heumier, and P. M. Griffin, Appl. Opt. 20, 1350 (1981).

[12] K.-J. Kim, K. Halbach, and D. Attwood, Proc. 2nd Top. Meeting on Laser tech-niques in the extreme ultraviolet 267 (1984).

[13] R. L. Kauffmann, D. W. Phillion, and R. C. Spitzer, Appl. Opt. 32, 6897 (1993).

[14] F. Bijkerk et al., Microelectronic Engineering 27, 299 (1995).

[15] W. Silfvast et al., Proc. SPIE 3676, 272 (1999).

[16] M. McGeoch, Appl. Opt. 37, 1651 (1998).

[17] D. Ockwell, N. Crosland, and V. Kempson, J. Vac. Sci. Technol. B 17, 3043 (1999).

[18] G. Dattoli et al., Nucl. Instrum. Methods. A 474, 259 (2001).

[19] G. Kubiak et al., OSA TOPS Extreme UltraViolet Lithography 4, 66 (1996).

[20] J. Benschop, R. Gortin, V. Banine, and N. Harned, ASET/SEMATECH Workshopon Extreme UV Lithography, Matsue Vol. CD (2001).

[21] R. de Bruijn et al., Proc. SPIE 3997, 157 (2000).

[22] D. A. Tichenor et al., Proc. SPIE 4506, 9 (2001).

[23] H. Meiling, J. P. Benschop, U. Dinger, and P. Kuerz, Proc. SPIE 4343, 38 (2001).

[24] MEDEA+ Project T405: EUV Sources Project (2002).

[25] Spitzer, J. Vac. Sci. Technol. B 11, 2986 (1993).

[26] Kubiak, OSA Proceedings 18, 127 (1993).

[27] L. Shmaenok et al., Proc. SPIE 113S, 2523 (1995).

[28] R. Stuik et al., Proc. 2nd SEMATECH Workshop on Extreme UV Lithography, SanFrancisco (2000).

[29] L. Malmqvist, L. Rymell, and H. Hertz, OSA TOPS Extreme UltraViolet Lithog-raphy 4, 72 (1996).

115

Page 127: Characterization of XUV sources

116 Bibliography

[30] L. Rymell, L. Malmqvist, M. Berglund, and H. M. Hertz, Microelectronic Engineer-ing 46, 453 (1999).

[31] D. Torres, J. F, M. Richardson, and C. DePriest, OSA TOPS Extreme UltraVioletLithography 4, 75 (1996).

[32] A. P. Shevelko et al., Physica Scripta 57, 276 (1998).

[33] G. Schriever, J. Appl. Phys. 83, 9 (1998).

[34] G. D. Kubiak, L. J. Bernardez, and K. D. Krenz, Proc. SPIE 3331, 81 (1998).

[35] G. Schriever, Appl. Opt. 37, 7 (1998).

[36] R. Stuik et al., Annual Report Rutherford Appleton Laboratories 97/98 121 (1998).

[37] H. M. Hertz, Proc. Int. Sematech EUVL workshop, March 2002, Santa Clara (2002).

[38] S. Kranzusch and K. Mann, Opt. Commun. 200, 223 (2001).

[39] M. Segers et al., Microelectronic Engineering 61-62, 139 (2002).

[40] F. Bijkerk et al., J. Phys. III (Paris) 4, 1669 (1994).

[41] I. C. E. Turcu, Proc. SPIE 2015, 243 (1994).

[42] E. Spiller, Phys. Lett. 54, 2293 (1989).

[43] E. Spiller, in Soft X-ray Optics, edited by E. Spiller (SPIE Optical EngineeringPress, Bellingham, WA, 1994).

[44] H.-J. Voorma, E. Louis, S. Abdali, and F. Bijkerk, J. Appl. Phys. 82, 1876 (1997).

[45] D. Fuchs et al., Rev. Sci. Instrum. 66, 2248 (1995).

[46] H.-J. Voorma et al., J. Appl. Phys. 81, 6112 (1997).

[47] E. Gullikson, http://www-cxro.lbl.gov/optical constants/ (November 1998).

[48] R. Soufli and E. Gullikson, Appl. Optics 39, 1713 (1998).

[49] D. de Boer, Phys. Rev. B 49, 5817 (1994).

[50] C. Montcalm et al., Proc SPIE 3331, 42 (1998).

[51] G. D. Kubiak et al., Proc. SPIE 3767, 136 (1999).

[52] J. Benschop, W. Kaiser, and D. Ockwell, Proc. SPIE 3676, 246 (1999).

[53] R. Stuik et al., Proc. SPIE 4146, 121 (2000).

[54] E. Gullikson, R. Korde, L. Canfield, and R. Vest, J. Electron Spectrosc. Relat.Phenom. 80, 313 (1996).

[55] L. Canfield et al., Metrologia 35, 329 (1998).

[56] Y. Ohno, J. Res. Natl. Inst. Stand. Technol. 102, 323 (1999).

[57] H. Rabus, V. Persch, and G. Ulm, Appl. Opt. 36, 5421 (1997).

[58] B. Beckhoff et al., Nucl. Instrum. Methods. A 444, 480 (2000).

[59] J. Samson and G. Haddad, J. Opt. Soc. Am. 64, 47 (1974).

[60] R. Vest et al., Nucl. Instrum. Methods. A 347, 291 (1994).

[61] G. Eppeldauer and J. Hardis, Appl. Opt. 30, 3091 (1990).

[62] F. Scholze et al., Nucl. Instrum. Methods. A 439, 208 (2000).

[63] M. Drescher et al., Science 291, 1923 (2001).

[64] E. M. Gullikson, http://www-cxro.lbl.gov Absorption data 1 nm - 30 nm, 2001.

[65] J. H. Weaver and H. Frederikse, in Handbook of Chemistry and Physics, 76th ed.,edited by D. R. Lide (CRC Press Inc., Boca Raton, FL, 1995), pp. 12.126 – 12.149.

[66] M. McGeoch, International EUVL workshop, Matsue, Japan (2001).

Page 128: Characterization of XUV sources

Bibliography 117

[67] C. Pagani, E. L. Saldin, E. A. Schneidmiller, and M. V. Yurkov, Nucl. Instrum.Methods. A 475, 391 (2001).

[68] M. W. McGeoch, Proc. SPIE 3997, 861 (2000).

[69] K. Bergmann et al., Microelectronic Engineering 57-58, 71 (2001).

[70] L. A. Shmaenok et al., Proc. SPIE 3331, 90 (1998).

[71] A. Lumpkin, Nucl. Instrum. Methods Phys. Res. A 375, 460 (1996).

[72] T. Wilhein et al., Rev. Sci. Instr. 70, 1694 (1999).

[73] A. P. Shevelko et al., Proc. SPIE 4144, 148 (2000).

[74] S. Kraft et al., Nucl. Instrum. Methods Phys. Res. A 436, 238 (1999).

[75] E. Louis et al., Proc. SPIE 3997, 406 (2000).

[76] S. Bajt et al., Proc. SPIE 3767, 259 (1999).

[77] F. Scholze et al., Proc. SPIE 4344, 402 (2001).

[78] R. Stuik and F. Bijkerk, Nucl. Instrum. Methods A 489, 370 (2002).

[79] G. Ulm et al., Proc. SPIE 3444, 610 (1998).

[80] H. Rabus, F. Scholze, R. Thornagel, and G. Ulm, Nucl. Instrum. Methods Phys.Res. A 377, 209 (1996).

[81] M. Kasrai et al., Appl. Surf. Sci. 99, 303 (1996).

[82] A. Owens, G. W. Fraser, and S. J. Gurman, Rad. Phys. and Chem. accepted,(2002).

[83] R. Stuik et al., J. Vac. Sci. Technol. B 17, 2999 (1999).

[84] F. Scholze, H. Rabus, and G. Ulm, J. Appl. Phys. 84, 2926 (1998).

[85] R. Stuik, F. Scholze, J. Tummler, and F. Bijkerk, accepted, Nucl. Instr. Meth. A(2002).

[86] W. N. Partlo, I. V. Fomenkov, R. Oliver, and D. L. Birx, Proc. SPIE 3997, 136(2000).

[87] W. N. Partlo et al., Proc. SPIE 4343, 232 (2001).

[88] N. R. Fornaciari et al., Proc. SPIE 4343, 226 (2001).

[89] K. Bergmann, O. Rosier, W. Neff, and R. Lebert, Appl. Opt. 39, 3833 (2000).

[90] I. Fomenkov, W. Partlo, and R. Ness, Proc. 2nd SEMATECH Workshop on ExtremeUV Lithography, San Francisco (2000).

[91] N. Fornaciari, Proc. 2nd SEMATECH Workshop on Extreme UV Lithography, SanFrancisco (2000).

[92] K. Bergmann et al., Proc. 2nd SEMATECH Workshop on Extreme UV Lithography,San Francisco (2000).

[93] T. Wilhein, Ph.D. thesis, University of Gottingen, 1994.

[94] W. Lehman, The Phosphor Cookbook (Westinghouse R&D Center, Pittsburgh, PA,YEAR).

[95] L. Levi, Applied Optics - A guide to optical system design (John Wiley and Sons,New York, NY, YEAR).

[96] G. Blasse and B. Grabmaier, Luminescent Materials (Springer-Verlag, Berlin, 1994).

[97] R. C. Spitzer, J. Appl. Phys. 79, 2251 (1996).

[98] G. Janeschitz, , J. Nucl. Mater. 290-293, 1 (2001).

Page 129: Characterization of XUV sources

118 Bibliography

[99] B. Unterberg et al., Journal of Nuclear Materials 266-269, 75 (1999).

[100] S. Mazouffre et al., IEEE Trans. Plasma Sci. 30, 146 (2002).

[101] L. Presnyakov and A. Shevelko, JETP Lett. 36, 44 (1982).

[102] M. Mazing, P. Pirogovski, A. Shevelko, and L. Presnyakov, Phys. Rev. A 32, 3695(1985).

[103] I. Beigman et al., J. Phys. B: At. Mol. Opt. Phys 22, 2493 (1989).

[104] S. Suckewer et al., Phys. Rev. Lett. 55, 1753 (1985).

[105] V. Boiko, F. Bunkin, V. Derzhiev, and S. Yakovlenko, IEEE J. Quantum Electron.QE-20, 206 (1984).

[106] R. Kodama and T. Mochizuki, Opt. Lett. 12, 990 (1987).

[107] T. Boehly et al., Proc. SPIE 831, 305 (1987).

[108] W. Tan et al., J. Appl. Phys. 64, 6128 (1988).

[109] H. Daido, K. Nishihara, E. Miura, and S. Nakai, J. Opt. Soc. Am. B 7, 266 (1990).

[110] L. Presnyakov, A. P. Shevelko, and D. Uskov, Z. Phys. D. -Atoms Mol. and Clusters21, 157 (1991).

[111] J. Crespo Lopez-Urrutia, E. Fill, R. Bruch, and D. Schneider, Nucl. Instrum. Meth-ods Phys. Res. B 79, 705 (1993).

[112] R. Clark, J. Davis, A. Velikovich, and K. Whitney, Phys. Plasmas 4, 3718 (1997).

[113] A. P. Shevelko, L. V. Knight, J. B. Peatross, and Q. Wang, Proc. SPIE 4505, 171(2001).

[114] TEXTOR team et al., Journal of Nuclear Materials 241-243, 105 (1997).

[115] U. Samm and TEXTOR Team, Plasma Phys. Control. Fusion 41, B57 (1999).

[116] F. Weschenfelder et al., Plasma Phys. Control. Fusion 38, A311 (1996).

[117] J. Winter, Plasma Phys. Control. Fusion 38, 1503 (1996).

[118] V. Veremiyenko et al., submitted to the EPS 2002 conference in Montreux, Switzer-land, June 17-21 2002 (2002).

[119] D. Attwood, B. Weinstein, and R. Wuerker, Appl. Opt. 16, 1253 (1977).

[120] P. Mazzotta, G. Mazzitelli, S. Colafrancesco, and N. Vittorio, Astron. Ast. suppl.ser 133, 403 (1998).

[121] M. Arnaud and R. Rothenflug, Astron. Astrophys. Suppl. Ser. 60, 425 (1985).

[122] B. Borland, F. Irons, and R. McWhirter, J. Phys. B: Proc. Phys. Soc 1, 1180 (1968).

[123] P. T. Rumsby and J. W. M. Paul, Plasma Physics 16, 247 (1974).

[124] Y. B. Zel’dovich and Y. P. Raizer, in Physics of Shock Waves and High-temperature

Hydrodynamic Phenomena, edited by W. D. Hayes and R. F. Probstein (AcademicPress Inc., New York, NY, 1966), Vol. I, pp. 382–413.

[125] R. Fabbro, C. Max, and E. Fabre, Phys. Fluids 28, 1463 (1985).

[126] Y. B. Zel’dovich and Y. P. Raizer, in Physics of Shock Waves and High-temperature

Hydrodynamic Phenomena, edited by W. D. Hayes and R. F. Probstein (AcademicPress Inc., New York, NY, 1966), Vol. II, pp. 515–522.

[127] A. Sarhangi and J. M. Power, J. Vac. Sci. Technol., A 10, 1514 (1992).

[128] F. A. van Goor et al., Proc SPIE 2206, 30 (1994).

[129] D. G. Steams, R. S. Rosen, and S. P. Vernon, Appl. Opt. 32, 6952 (1993).

Page 130: Characterization of XUV sources

Bibliography 119

[130] E. Louis et al., Proceedings of the 43rd International Conference on Electron, Ionand Photo Beam Technology and Nanofabrication (1999).

[131] N. N. Salashchenko, Y. Y. Platonov, and S. Y. Zuev, Nucl. Instrum. Methods Phys.Res. A 359, 114 (1995).

[132] I. A. Artioukov et al., Opt. Lett. 20, 2451 (1995).

[133] H. Fiedorowicz, A. Bartnik, P. Paris, and Z. Patron, Proceedings of the 13th Inter-national Congress on X-ray Optics and Microanalysis, Inst. Phys. Cont. Ser. 130,515 (1992).

[134] L. Rymell and H. M. Hertz, Rev. Sci. Instrum. 66, 4916 (1995).

Page 131: Characterization of XUV sources
Page 132: Characterization of XUV sources

Summary

In this dissertation several aspects of the characterization and application of XUV sourcesare described. XUV radiation is currently being explored for several new applications, themost prominent being Extreme Ultraviolet Lithography (EUVL) and Low-Energy X-rayFluorescence analysis (LE-XRF). The success of both applications depends mainly on theimprovements with respect to existing techniques, either in terms of microchip productionrate, smallest printable structures, or in the resolution, detection limit or sample analysistime. The XUV source is of major relevance to these features. The microchip productionrate and sample analysis time are directly proportional to the source power, while theaccuracy is determined by the source stability. Simultaneously, both the life time of thesource itself as well as the life time of the elements exposed to the source are of a majorinfluence in the cost of the use of XUV radiation in these optical applications.

Optimization of the source in the above three areas (power, stability and contami-nation) can only be performed when the source properties are well known and only inconjunction with the optical system to be used in the application. When using XUV radi-ation at a wavelength longer than several nanometers, the optics of choice are multilayermirrors, having high reflectivity in this wavelength region and ease of use. A numericaloptimization was performed of a multi-mirror multilayer system for application in EUVL,in the wavelength range between 11 and 15 nm. The optimum throughput of the opticalsystem was found to be at 14.4 nm for a 10-mirror Mo/Si multilayer system, the optimumgiven by a balance in the increase in peak reflectivity towards the Si-L edge at 12.4 nmand an increase in mirror bandwidth towards longer wavelengths. Based on the charac-teristics of the source, sharp spectral features in the source spectrum can shift the optimalwavelength for a combination of source and multi-mirror system.

Characterization of the source can be performed using many different techniques. Butwhichever technique is used, it should be reproducible and accurate for the parametersof interest. A portable diagnostic, based on multilayer optics and a P-N photodiode wasdeveloped and used to investigate various candidate sources for EUVL, at 13.4 nm and11.4 nm. The individual elements were calibrated, leading to an overall accuracy of thediagnostic of 5% at 13.4 nm and 7% at 11.4 nm. A separate investigation was performed onthe behaviour of the P-N photodiode under pulsed irradiation. The stability of calibrationwas verified by using pulses of variable, calibrated pulse duration and shape. A variationof the calibration as a function of the pulse duration was only observed at irradiationlevels approaching, or higher than the saturation level of the diode.

Portability of the diagnostic allowed on-site measurements of 5 candidate sources forEUVL in a campaign which became known as Flying Circus (FC). The source typesinvestigated during FC were a Z-pinch, a dense plasma focus device (DPF), a capillarydischarge device (CD), a laser produced plasma (LPP) and a hollow cathode triggered

121

Page 133: Characterization of XUV sources

122 Summary

pinch plasma (HCT). The most mature source at the time of the measurement, the firsthalf of 2000, was the LPP, both in power (∼2.8 W), as well as contamination level (>106 pulses). Since FC the different sources have been improved significantly in all areas,but none of the sources has yet reached the strict requirement set by EUVL. The EUVsource remains a critical point in the development of EUVL.

Another detection technique concerns materials which show fluorescent radiation inthe visible range when illuminated by XUV radiation. These materials are candidates foralignment systems in future XUV systems. Investigations on the efficiency, the temporalbehaviour and the spectral emission profile of various materials showed that YAG:Ce andCaS:Ce are the best candidates for use in alignment systems. They showed a highestconversion efficiency, of up to 3%, and short response time down to 55 ns. However, theseefficiencies only allow for the use of these fluorescent materials when using sufficientlyhigh XUV powers, in order to achieve a satisfactory signal-to-noise ratio for diagnosticoperation.

Once good characterization techniques are developed, these can be used to optimizethe source. Two different aspects of source optimization have been described in thisdissertation. The first is the use of picosecond pulses for the generation of XUV radiationin a laser produced plasma. Previous research indicated that for plasmas generated usinglonger laser pulses, of several 10’s of nanoseconds, the emitted XUV pulse duration wassignificantly shorter than the laser pulse. Also, an improved XUV yield of keV radiationwas observed when using picosecond pulses, as compared to nanosecond pulses. Does theuse of picosecond pulses lead to an improved conversion efficiency at softer XUV, near13 nm? The measurements in the range between 3 and 21 ps resulted in a maximumconversion efficiency of 0.22%/(% bandwidth 2π sr) at 2×1014 W/cm2, roughly a factor2 lower than the maximum conversion efficiency obtained in the nanosecond range.

A second optimization scheme is laser-plasma wall interaction (LPWI), the process inwhich an expanding laser produced plasma interacts with a solid. The expanding plasmacan carry away >50% of the laser energy put into the plasma and in the interaction withthe wall this energy can be used to generate a bright source of radiation. Simultaneously,the interaction of a hot plasma with objects is a challenge to both future sources for EUVL,in the sense of contamination by erosion of objects near the source, as well as in divertors intokamak systems, where a large fraction of the energy released by thermonuclear fusionis deposited in a small area on the wall. The investigation of the spectral and spatialstructure of LPWI for Al and CF2 as target materials showed a strong jump in temperaturein the near wall region, where a shockwave is created due to stagnation of the plasma. Forlarger target-wall distances, a strong decrease in temperature in the expanding plasma andinteraction zone near the wall was observed, visible as a rapid decay of the higher ionizationstages as a function of the distance. The significantly different distance dependence of theemission of Al IV was most likely caused by the large gap in ionization energy betweenAl IV and Al III, illustrating the meta-stable nature of Ne-like Al IV for a large rangein temperature. The decay of higher ionization stages to Al IV caused an increase inintensity at larger distances.

In the last chapter in this dissertation a demonstration of the use of XUV sources isdescribed: a setup for low-energy x-ray fluorescence microscopy. Using a laser plasma aslight source and multilayer optics, x-ray fluorescence of lighter elements can be measured

Page 134: Characterization of XUV sources

Summary 123

using a compact setup. Described are the setup used for the detection of C and firstestimates of the sensitivity and resolution.

Page 135: Characterization of XUV sources
Page 136: Characterization of XUV sources

Samenvatting

In dit proefschrift worden verschillende aspecten behandeld van de karakterisatie entoepassing van XUV bronnen. XUV straling wordt momenteel gebruikt voor verschillendetoepassingen, waarvan Extreem Ultraviolet Lithografie (EUVL) en lage-energie rontgenfluorescentie microscopie (LE-XRF) de meest prominente zijn. Het succes van beidetoepassingen hangt hoofdzakelijk af van de verbetering ten opzichte van reeds bestaandetechnieken, ofwel op het gebied van de productiesnelheid van microchips en kleinste afte beelden structuren, ofwel op het gebied van de resolutie, detectielimiet en meettijdper monster. De XUV bron levert een belangrijke bijdrage voor deze eigenschappen. Deproductiesnelheid van microchips en de meettijd van monsters is evenredig met het bron-vermogen, terwijl de nauwkeurigheid wordt bepaald door de bronstabiliteit. Tegelijkertijdhebben de levensduur van de bron en de levensduur van elementen die blootgesteld wor-den aan de bron een belangrijke invloed op of XUV gebruikt zal worden voor een of beidetoepassingen.

Optimalisatie van de bron in de bovengenoemde drie gebieden (vermogen, stabiliteiten vervuiling) kan alleen worden uitgevoerd wanneer de broneigenschappen goed bekendzijn en alleen samen met het optische systeem dat gebruikt zal worden bij de toepassing.Wanneer gebruik wordt gemaakt van XUV straling met een golflengte langer dan enkelenanometers, dan zijn multilaagsspiegels, met hun hoge reflectiviteit en eenvoud in gebruik,de beste keuze als optiek. Een numerieke optimalisatie is uitgevoerd voor een meervoudigmultilaags spiegelsysteem voor gebruik in EUVL, in het golflengtegebied tussen 11 en15 nm. De maximale transmissie van het optische systeem vond plaats bij 14.4 nmvoor een 10-spiegel Mo/Si multilaagssysteem, waarbij het optimum gegeven werd door detoename van de piekreflectiviteit in de richting van de Si-L kant bij 12.4 nm en door detoename van de bandbreedte van de spiegel voor langere golflengtes.

Karakterisatie van de bron kan worden uitgevoerd door gebruik te maken van veleverschillende technieken. Onafhankelijk van welke techniek gekozen wordt, de techniekmoet reproduceerbaar en nauwkeurig zijn voor het meten van de gewenste parameters.Een draagbare diagnostiek, gebaseerd op multilaagsoptiek en een P-N fotodiode is gebruiktom verschillende kandidaatbronnen voor EUVL te onderzoeken, voor de golflengtes van13.4 en 11.4 nm. De elementen van deze diagnostiek werden individueel nauwkeuriggekalibreerd, hetgeen leidde tot een algehele nauwkeurigheid van de diagnostiek van 5%bij 13.4 nm en 7% bij 11.4 nm. Een apart onderzoek was gericht op het gedrag van de P-Nfotodiode bij gepulste belichting. Dat de kalibratie onafhankelijk is van de pulsduur vande straling werd geverifieerd door de fotodiode te belichten met pulsen van variabele, maargekalibreerde pulsduur en intensiteit. De kalibratie varieerde slechtes als de intensiteit opde fotodiode in de buurt kwam van, of hoger was dan de verzadigingsintensiteit.

125

Page 137: Characterization of XUV sources

126 Samenvatting

Het draagbare karakter van de diagnostiek stond metingen toe op lokatie bij 5 bronnenwelke kandidaat zijn als bron voor EUVL, gedurende een campagne die bekend werd onderde naam “Flying Circus (FC)”. De bronnen die werden onderzocht gedurende FC wareneen Z-pinch, een dense plasma focus apparaat (DPF), een capillaire ontladingsbron (CD),een laser geproduceerd plasma (LPP) en een door een holle kathode ontstoken pinchplasma (HCT). De meest volwassen bron ten tijde van deze metingen, gedurende deeerste helft van 2000, was de LPP, zowel in vermogen (∼2.8 W) als in vervuilingsniveau(>106 pulsen). Na FC zijn de verschillende bronnen op alle gebieden significant verbeterd,maar nog geen van de bronnen voldoet aan de strenge eisen die gesteld worden aan debron voor EUVL. Op dit moment is de EUV bron nog een van de kritieke elementen voorde ontwikkeling van EUVL.

Een andere detectietechniek betreft materialen die fluoresceren bij belichting met XUVstraling. Deze materialen zijn kandidaten voor uitlijnsystemen in toekomstige XUV sys-temen. Onderzoek naar de efficientie, het tijdsgedrag en de spectrale emissie van ver-schillende materialen toonde aan dat YAG:Ce en CaS:Ce de beste kandidaten zijn voorgebruik in uitlijnsystemen. Zij vertoonden de hoogste conversie efficientie, tot 3%, en eenkorte relaxatietijd, tot 55 ns. Deze efficientie staat echter het gebruik van deze fluores-cente materialen alleen toe indien een voldoende hoog XUV vermogen wordt gebruikt omeen goede signaal-ruis verhouding in de diagnostieken te krijgen.

Op het moment dat een goede karakterisatietechniek is ontwikkeld, kan deze gebruiktworden voor de optimalisatie van de bron. Twee verschillende aspecten van de bronop-timalisatie zijn behandeld in dit proefschrift. Het eerste is het gebruik van picosecondepulsen voor het opwekken van XUV straling. Voorgaand onderzoek heeft aangetoond dat,voor laser plasmas gegenereerd met langere pulsen, van enige tientallen nanoseconden, deuitgezonden XUV puls significant korter was dan de laser puls. Tegelijkertijd werd voorstraling in het keV (∼1 nm) gebied een hogere opbrengst gemeten bij het gebruik van pi-coseconde pulsen ten opzichte van het gebruik van nanoseconde pulsen. Heeft het gebruikvan picoseconde pulsen een vergelijkbaar effect op de conversie efficientie voor zachtereXUV, in de buurt van 13 nm? Metingen in het bereik tussen 3 en 21 ps gaven een maxi-male conversie efficientie van 0.22%/(% bandbreedte 2π sr) bij 2×1014 W/cm2, ongeveertwee keer lager dan de maximale conversie efficientie in het nanoseconde regime.

Een tweede optimalisatietechniek is laser-plasma wand interactie (LPWI), waarbij eenexpanderend laser geproduceerd plasma botst met een vast object. Het expanderendeplasma kan >50% van de inkomende laserenergie bevatten en in de interactie van hetplasma met de wand kan deze energie gebruikt worden voor het genereren van intensestraling. Tegelijkertijd vormt de interactie van een heet plasma met een vast object eenuitdaging voor zowel toekomstige bronnen voor EUVL, waar vervuiling door de erosievan objecten blootgesteld aan de bron een belangrijk probleem vormt, als bijvoorbeeldin divertors in tokamak fysica, waar een groot deel van de energie die vrijkomt bij dethermo-nucleaire fusiereacties gedeponeerd wordt op een klein gebied van de wand. Hetonderzoek naar de spectrale en ruimtelijke structuur van de LPWI met Al en CF2 alsbronmateriaal toonde aan dat er een sterke toename in de temperatuur optreedt doorstagnatie van het plasma aan de wand. Voor grotere afstanden tussen de bron en dewand werd een sterke afval gezien in de temperatuur zoals zichtbaar in een sterke afnamevan de hogere ionisatietoestanden, zowel in het expanderende plasma als vlak bij dewand. Het significante verschil in de afstandsafhankelijkheid van de emissie van Al IV

Page 138: Characterization of XUV sources

Samenvatting 127

werd waarschijnlijk veroorzaakt door het grote verschil in ionisatie-energie tussen Al IVen Al III, waardoor het metastabiele karakter van Ne-achtig Al IV over een groot bereikin temperatuur naar voren komt. Het verval van de hogere ionisatietoestanden naarAl IV veroorzaakte een toename in de intensiteit van Al IV wanneer de wand op grotereafstanden van het plasma is geplaatst.

In het laatste hoofdstuk in dit proefschrift wordt een demonstratie gegeven van hetgebruik van een XUV bron: een opstelling voor lage-energie rontgenfluorescentie micro-scopie wordt beschreven. Door gebruik te maken van een laser plasma als lichtbron enmultilaagsoptiek, kan rontgenfluorescentie van lichtere elementen worden gedetecteerdmet behulp van een compacte opstelling. Beschreven zijn de opstelling gebruikt voor hetdetecteren van C en de eerste schattingen van de gevoeligheid en resolutie.

Page 139: Characterization of XUV sources
Page 140: Characterization of XUV sources

Dankwoord

Dit proefschrift is een poging om mijn onderzoek van de afgelopen 5 jaar samen te vatten,iets dat eigenlijk niet mogelijk is. Mijn promotie periode bestond gelukkig niet alleenuit harde feiten en pure wetenschap. Ik heb met veel genoegen samengewerkt met devele collega’s in (en buiten) het veld van XUV straling. Ik heb veel gezien en hoop eenklein beetje wijzer te zijn dan voor ik begon. Een grote bijdrage hierbij is geleverd doorFred, Eric, Konstantin en Leonid, die mij gedurende mijn goede en slechte tijden hebbenbijgestaan en in ieder geval geprobeerd hebben om mij de “wetenschappelijk denkwijze”bij te brengen. Ik denk dat het redelijk gelukt is. Harm-Jan, Mark en Rene, ik heb metveel plezier naast en met jullie gewerkt. Ik heb veel plezier gehad van jullie kamergenoot-schap en het zal moeilijk worden jullie te vervangen, al was het alleen maar dat er nietveel mensen een deel van hun lunchpauze opofferen voor een gezonde dosis virtueel geweld;o) Henri, bedankt dat ik dankzij jou en ondanks mijn twee linker handen nog steeds mijnopstellingen werkend kreeg! En uiteraard bedank ik hierbij alle andere collega’s met wieik door de jaren leuk heb samengewerkt.

I would also like to thank Edmund Turcu and his team for the hospitality when Iwas performing my measurements at RAL. The environment, both scientific as outdoorswas really stimulating! Ramon, uiteindelijk is er niets meer in mijn proefschrift gekomen,maar toch bedankt voor de goede samenwerking tijdens de metingen bij het NCLR. FlyingCircus was een leuk project, dat bedoelt was als een leuk intermezzo, maar nu uitgegroeidis tot het grootste deel van mijn proefschrift. I had a great time performing the measure-ments for Flying Circus and really enjoyed the hospitality during these three months. Ilike to thank all the people at Plex, Cymer, Sandia, ILT and of course ASML, who havehelped enormously and without whom I wouldn’t have been able to write this dissertation.

De steun van vrienden en familie was onmisbaar, zowel in goede als moeilijkere tijden.In het bijzonder wil ik Nancy bedanken, die de laatste jaren mij heeft gesteund, ook alsik een aantal weken in verre oorden metingen aan het verrichten was. Mijn ouders enfamilie, die mij een stuk minder vaak gezien hebben de laatste paar jaar, maar er tochaltijd voor me waren. Ik heb met veel genoegen in Zevenwouden gewoond met Bartjanen Eugene en heb genoten van de vele (wetenschappelijk zeer onverantwoorde) discussies,lekker eten... en uiteraard vele uren gezellig (computer) spelletjes spelen: geen spanningzonder ontspanning. Ook bedank ik Wim en Michiel—zonder minstens twee doctoralentel je eigenlijk niet meer mee—wie gaat de eerste dubbele promotie doen ;o) Ik had graagiedereen persoonlijk willen bedanken, maar het risico om iemand te vergeten was te groot.Iedereen die ik nog gemist heb, zich gemist voelt of op andere wijze iets mist, HEEL ERGBEDANKT!

Remko Stuik

Augustus 2002

129

Page 141: Characterization of XUV sources
Page 142: Characterization of XUV sources

Curriculum Vitae

Remko Stuik was born in Zierikzee, The Netherlands, on July 13, 1974. He received hisprimary education at the Willibrordusschool in Zoutelande. He attended the St. Willi-brordcollege in Goes, where he received his degree for secondary education, at the level ofAtheneum in 1992. In the same year he started his studies in physics and astrophysics atthe University of Utrecht, The Netherlands, and passed the propaedeutic examination forboth branches of study in 1993. During his studies he followed many optional courses onvarious subjects and wrote computer software for the Westerbork Synthesis Radio Tele-scope. The research for his Masters degree, under supervision of dr. J.H.M.J. Bruls anddr. R.J. Rutten, was performed during a three month practical period at the InstitutoAstrofısica de Canarias, La Laguna, Tenerife. After writing his thesis, titled “ModelingLiI and KI sensitivity to Pleiades activity,” he received his Master’s degree (doctoraalexamen) in general astrophysics in 1996. After writing his thesis on “Laser plasma sourcecharacterization,” based on research performed at the FOM-Institute for Plasma PhysicsRijnhuizen, Nieuwegein, The Netherlands, under supervision of dr. L.A. Shmaenok anddr. F. Bijkerk, he received his Master’s degree in experimental physics in 1997.

Two months later he started his doctoral research at the FOM Institute for PlasmaPhysics Rijnhuizen under supervision of dr. Fred Bijkerk and prof.dr Marnix van der Wiel(Technical University Eindhoven, Eindhoven, The Netherlands), the results of which arepresented in this dissertation. During this period he attended several international work-shops and conferences and presented his results there, both in oral as well as poster presen-tations. During his doctoral research he visited various international research institutesand companies, for the characterization of XUV sources, among others the CLRC Ruther-ford Appleton Laboratory (Didcot, United Kingdom), Laboratoire pour l’Utilisation desLasers Intenses of the Ecole Polytechnique (Palaiseau, France), SOPRA (Bois-Colombes,France) and the Nederlands Centrum voor Laser Research B.V. (Enschede, The Nether-lands). He was one of the main investigators and organizers of the Flying Circus project,an international effort for the cross-calibration of state-of-the art sources for ExtremeUltraviolet Lithography. In this project he visited PLEX LLC (Brookline, MA, USA),Cymer Inc. (San Diego, CA, USA), Sandia National Laboratories (Livermore, CA, USA)and the Fraunhofer-Institut fur Lasertechnik ILT (Aachen, Germany). In total he spentmore than 3 months at these five institutes.

131