ASIC-System on Chip-VLSI Design_ Power Planning

download ASIC-System on Chip-VLSI Design_ Power Planning

of 5

Transcript of ASIC-System on Chip-VLSI Design_ Power Planning

  • 7/25/2019 ASIC-System on Chip-VLSI Design_ Power Planning

    1/5

    6/25/2016 ASIC-System on Chip-VLSI Design: Power Planning

    http://asic-soc.blogspot.in/2007/10/power-planning.html

    Digital chip design articles, tutorials, classes and news

    ASIC-System on Chip-VLSI Design

    House Plan Design Calculate Power Power Inverter Planning ToolAds by Google

    Power Planning

    There are two types of power planning and management. They are core cell power

    managementand I/O cellpower management. In former one VDD and VSS power rings

    are formed around the core and macro. In addition to this straps and trunks are created

    for macros as per the power requirement. In the later one, power rings are formed for I/O

    cells and trunks are constructed between core power ring and power pads. Top to bottom

    approach is used for the power analysis of flatten design while bottom up approach is

    suitable for macros.

    The power information can be obtained from the front end design. The synthesis tool

    reports static power information. Dynamic power can be calculated using Value Change

    Dump (VCD)or Switching Activity Interchange Format (SAIF)file in conjunction with

    RTL description and test bench. Exhaustive test coverage is required for efficientcalculation of peak power. This methodology is depicted in Figure (1).

    For the hierarchical design budgeting has to be carried out in front end. Power is

    calculated from each block of the design. Astro works on flattened netlist. Hence here top

    to bottom approach can be used. JupiterXT can work on hierarchical designs. Hence

    bottom up approach for power analysis can be used with JupiterXT. IR drops are not

    found in floor planning stage. In placement stage rails are get connected with power

    rings, straps, trunks. Now IR drops comes into picture and improper design of power can

    lead to large IR drops and core may not get sufficient power.

    Figure (1) Power Planning methodology

    Below are the calculations for flattened design of the SAMM. Only static power reported

    by the Synthesis tool (Design Compiler) is used instead of dynamic power.

    HomeAbout

    Physical Design

    Low Power VLSI

    STA

    Synthesis

    DFT

    FV

    Verilog

    Links

    Jobs

    Training

    Layoffs Watch

    Power Transformer Desig

    Power Supply Circuit

    Power Loss Calculation

    Ads by Google

    What is the difference betweenFPGA and ASIC?

    Backend (Physical Design)Interview Questions and Answers

    Companywise ASIC/VLSI Interview

    Questions

    Process-Voltage-Temperature(PVT) Variations and Static Timing

    Analysis

    Clock Gating

    Power Planning

    Synthesizable and Non-Synthesizable Verilog constructs

    What is the difference betweenFPGA and CPLD?

    What is the difference betweensoft macro and hard macro?

    Embedded System for AutomaticWashing Machine using MicrochipPIC18F Series Microcontroller

    TOP POSTS

    Are y

    artic

    this.

    profe

    pape

    WR

    A

    S

    V

    V

    ve

    veq

    ve

    V

    ve

    REA

    http://3.bp.blogspot.com/_Se0VANaI9uM/RxoBSNKaH9I/AAAAAAAAADM/_hXJF-326w0/s1600-h/Power+Planning+methodology.JPGhttp://3.bp.blogspot.com/_Se0VANaI9uM/RxoBSNKaH9I/AAAAAAAAADM/_hXJF-326w0/s1600-h/Power+Planning+methodology.JPGhttps://googleads.g.doubleclick.net/aclk?sa=l&ai=CTRzAZZRuV8y0OcivvATw_bL4B7qs-upEptKV1fQCwI23ARABIJS18Qlg5crlg7QOoAHa1pvdA8gBCakCINQU0DWnTz6oAwHIA0CqBKIBT9AgCKCMOEvWfXNR3Z-yqcUSoK1IYCMFO8PdxSp_ffxROyZDuLHahXoEDhyJtNf7YxlwXxxlU0Lp3iQZRFSXMKwJBBMNRjnUXLGT-XwQhCaSRjCGnPnyUNVRMo72Jod_oGH5_IlxAZUWM9V_fRXrcMv3VLFGQdggW_nk2mOb-KUE2d-izAMPkK0PsXemh029doZXsT3nsKSdCGDRa2YSNnMVoAYugAeOqeQiqAemvhvYBwDYEww&num=1&sig=AOD64_0lNpmEHGfI39gben6okmbwl2efng&client=ca-pub-1595196203071025&adurl=http://www.voonik.com/latest/women-sarees%3Futm_source%3DGoogleDisplaySaree%26utm_medium%3Dcpc%26utm_campaign%3DGoogleDisplaySareehttps://www.googleadservices.com/pagead/aclk?sa=L&ai=C9MhTZZRuV5LfLZaLvgS64prgCo_L5YhF_tG69PkBtbiehjQQASCUtfEJYOXK5YO0DqAB0qjjzAPIAQKoAwHIA8EEqgSVAU_QxT91aRs3xztizZYSFCYT7mrR0J2yt0OvnRQ9Mayu9SUCZx3rtobN91dq5l_0_fF1tzBXrATu5K8TnMzx6_8XL36_IlihYZAEZtnd2GVyhiAbR651EVfCjZAbeR-HKF1D5WhJXhD51mti6gu83kWPTM4gSbmpNiLNrEUl60g0Zi-7Yn-WqWRcj_YlZ0beK3-1E5JoiAYBoAYCgAeW15wzqAemvhvYBwHYEwg&num=1&cid=CAASEuRo1L4eg385WCMZ29LVUV3PMQ&sig=AOD64_3wTZZS3xJuSFIIeNZpm-hmLF7a4g&client=ca-pub-1595196203071025&adurl=http://4055.xg4ken.com/trk/v1%3Fprof%3D457%26camp%3D2240%26affcode%3Dcr409976%26kct%3Dgoogle%26kchid%3D4051553010%26cid%3D66768035702%7C282102%7C%26mType%3D%26networkType%3Dcontent%26kdv%3Dc%26criteriaid%3Dkwd-0%26adgroupid%3D14542545662%26campaignid%3D293956622%26locphy%3D1007768%26adpos%3Dnone%26url%3Dhttp://free.easypdfcombine.com/index.jhtml%3Fpartner%3D%5EBSB%5Exdm014https://googleads.g.doubleclick.net/aclk?sa=l&ai=CTRzAZZRuV8y0OcivvATw_bL4B7qs-upEptKV1fQCwI23ARABIJS18Qlg5crlg7QOoAHa1pvdA8gBCakCINQU0DWnTz6oAwHIA0CqBKIBT9AgCKCMOEvWfXNR3Z-yqcUSoK1IYCMFO8PdxSp_ffxROyZDuLHahXoEDhyJtNf7YxlwXxxlU0Lp3iQZRFSXMKwJBBMNRjnUXLGT-XwQhCaSRjCGnPnyUNVRMo72Jod_oGH5_IlxAZUWM9V_fRXrcMv3VLFGQdggW_nk2mOb-KUE2d-izAMPkK0PsXemh029doZXsT3nsKSdCGDRa2YSNnMVoAYugAeOqeQiqAemvhvYBwDYEww&num=1&sig=AOD64_0lNpmEHGfI39gben6okmbwl2efng&client=ca-pub-1595196203071025&adurl=http://www.voonik.com/latest/women-sarees%3Futm_source%3DGoogleDisplaySaree%26utm_medium%3Dcpc%26utm_campaign%3DGoogleDisplaySareehttps://www.googleadservices.com/pagead/aclk?sa=L&ai=C9MhTZZRuV5LfLZaLvgS64prgCo_L5YhF_tG69PkBtbiehjQQASCUtfEJYOXK5YO0DqAB0qjjzAPIAQKoAwHIA8EEqgSVAU_QxT91aRs3xztizZYSFCYT7mrR0J2yt0OvnRQ9Mayu9SUCZx3rtobN91dq5l_0_fF1tzBXrATu5K8TnMzx6_8XL36_IlihYZAEZtnd2GVyhiAbR651EVfCjZAbeR-HKF1D5WhJXhD51mti6gu83kWPTM4gSbmpNiLNrEUl60g0Zi-7Yn-WqWRcj_YlZ0beK3-1E5JoiAYBoAYCgAeW15wzqAemvhvYBwHYEwg&num=1&cid=CAASEuRo1L4eg385WCMZ29LVUV3PMQ&sig=AOD64_3wTZZS3xJuSFIIeNZpm-hmLF7a4g&client=ca-pub-1595196203071025&adurl=http://4055.xg4ken.com/trk/v1%3Fprof%3D457%26camp%3D2240%26affcode%3Dcr409976%26kct%3Dgoogle%26kchid%3D4051553010%26cid%3D66768035702%7C282102%7C%26mType%3D%26networkType%3Dcontent%26kdv%3Dc%26criteriaid%3Dkwd-0%26adgroupid%3D14542545662%26campaignid%3D293956622%26locphy%3D1007768%26adpos%3Dnone%26url%3Dhttp://free.easypdfcombine.com/index.jhtml%3Fpartner%3D%5EBSB%5Exdm014https://googleads.g.doubleclick.net/aclk?sa=l&ai=CTRzAZZRuV8y0OcivvATw_bL4B7qs-upEptKV1fQCwI23ARABIJS18Qlg5crlg7QOoAHa1pvdA8gBCakCINQU0DWnTz6oAwHIA0CqBKIBT9AgCKCMOEvWfXNR3Z-yqcUSoK1IYCMFO8PdxSp_ffxROyZDuLHahXoEDhyJtNf7YxlwXxxlU0Lp3iQZRFSXMKwJBBMNRjnUXLGT-XwQhCaSRjCGnPnyUNVRMo72Jod_oGH5_IlxAZUWM9V_fRXrcMv3VLFGQdggW_nk2mOb-KUE2d-izAMPkK0PsXemh029doZXsT3nsKSdCGDRa2YSNnMVoAYugAeOqeQiqAemvhvYBwDYEww&num=1&sig=AOD64_0lNpmEHGfI39gben6okmbwl2efng&client=ca-pub-1595196203071025&adurl=http://www.voonik.com/latest/women-sarees%3Futm_source%3DGoogleDisplaySaree%26utm_medium%3Dcpc%26utm_campaign%3DGoogleDisplaySareehttp://asic-soc.blogspot.in/2013/06/synthesizable-and-non-synthesizable.htmlhttp://asic-soc.blogspot.in/2007/10/power-planning.htmlhttp://asic-soc.blogspot.in/2008/03/process-variations-and-static-timing.htmlhttp://asic-soc.blogspot.in/2008/07/companywise-asicvlsi-interview.htmlhttp://asic-soc.blogspot.in/2008/03/backend-physical-design-interview.htmlhttp://asic-soc.blogspot.in/2007/11/what-is-difference-between-fpga-and_06.htmlhttps://googleads.g.doubleclick.net/pagead/ads?client=ca-pub-1595196203071025&output=html&h=90&slotname=5918343930&adk=2981899533&w=200&lmt=1466633114&host=ca-host-pub-1556223355139109&h_ch=L0001&flash=22.0.0&url=http%3A%2F%2Fasic-soc.blogspot.in%2F2007%2F10%2Fpower-planning.html&wgl=1&dt=1466864743754&bpp=28&bdt=2132&fdt=32&idt=36&shv=r20160617&cbv=r20151006&saldr=sa&prev_fmts=200x90_0ads_al&prev_slotnames=5685207937&correlator=286982311406&frm=20&ga_vid=*&ga_sid=*&ga_hid=*&ga_fc=1&pv=1&iag=3&icsg=2&nhd=1&dssz=2&mdo=0&mso=0&u_tz=330&u_his=20&u_java=0&u_h=768&u_w=1366&u_ah=728&u_aw=1366&u_cd=24&u_nplug=5&u_nmime=7&dff=arial&dfs=14&biw=1349&bih=650&eid=575144605&ref=https%3A%2F%2Fwww.google.co.in%2F&rx=0&eae=0&fc=80&pc=1&brdim=0%2C0%2C0%2C0%2C1366%2C0%2C1366%2C728%2C1366%2C667&vis=1&rsz=%7C%7Co%7C&abl=CS&ppjl=t&pfx=0&fu=1040&bc=1&ifi=3&xpc=m2echvZRp9&p=http%3A//asic-soc.blogspot.in&dtd=48&rl_rc=true&adsense_enabled=true&ad_type=text&oe=utf8&format=fpkc_al_lp&kw_type=radlink&hl=en&kw0=Power+Transformer+Design&kw1=Power+Supply+Circuit&kw2=Power+Loss+Calculation&okw=Power+Supply+Circuit&rt=ChBXbpRlAAz6OgqPjEbnA4-OEhRQb3dlciBTdXBwbHkgQ2lyY3VpdBoI6IpCG_okK3MoATACUhMIl8n2_rDDzQIVVo6PCh19zgh8https://www.google.com/url?ct=abg&q=https://www.google.com/adsense/support/bin/request.py%3Fcontact%3Dabg_afc%26url%3Dhttp://asic-soc.blogspot.in/2007/10/power-planning.html%26gl%3DIN%26hl%3Den%26client%3Dca-pub-1595196203071025%26ai0%3D&usg=AFQjCNHu32aVi6KStP_7DsztysPL4jMMTwhttp://asic-soc.blogspot.in/search/label/verilog%20tutorial%20for%20beginnershttp://asic-soc.blogspot.in/search/label/Verificationhttp://asic-soc.blogspot.in/search/label/verilog%20tutorialshttp://asic-soc.blogspot.in/search/label/verilog%20interview%20questionshttp://asic-soc.blogspot.in/search/label/verilog%20exampleshttp://asic-soc.blogspot.in/search/label/Verilog%20HDLhttp://asic-soc.blogspot.in/search/label/Veriloghttp://asic-soc.blogspot.in/search/label/Synthesishttp://asic-soc.blogspot.in/search/label/ASIC%20synthesishttps://googleads.g.doubleclick.net/aclk?sa=l&ai=CTRzAZZRuV8y0OcivvATw_bL4B7qs-upEptKV1fQCwI23ARABIJS18Qlg5crlg7QOoAHa1pvdA8gBCakCINQU0DWnTz6oAwHIA0CqBKIBT9AgCKCMOEvWfXNR3Z-yqcUSoK1IYCMFO8PdxSp_ffxROyZDuLHahXoEDhyJtNf7YxlwXxxlU0Lp3iQZRFSXMKwJBBMNRjnUXLGT-XwQhCaSRjCGnPnyUNVRMo72Jod_oGH5_IlxAZUWM9V_fRXrcMv3VLFGQdggW_nk2mOb-KUE2d-izAMPkK0PsXemh029doZXsT3nsKSdCGDRa2YSNnMVoAYugAeOqeQiqAemvhvYBwDYEww&num=1&sig=AOD64_0lNpmEHGfI39gben6okmbwl2efng&client=ca-pub-1595196203071025&adurl=http://www.voonik.com/latest/women-sarees%3Futm_source%3DGoogleDisplaySaree%26utm_medium%3Dcpc%26utm_campaign%3DGoogleDisplaySareehttp://asic-soc.blogspot.in/2007/12/embedded-system-for-automatic-washing.htmlhttp://asic-soc.blogspot.in/2007/11/what-is-difference-between-soft-macro.htmlhttp://asic-soc.blogspot.in/2007/11/what-is-difference-between-fpga-and.htmlhttp://asic-soc.blogspot.in/2013/06/synthesizable-and-non-synthesizable.htmlhttp://asic-soc.blogspot.in/2007/10/power-planning.htmlhttp://asic-soc.blogspot.in/2008/04/clock-gating.htmlhttp://asic-soc.blogspot.in/2008/03/process-variations-and-static-timing.htmlhttp://asic-soc.blogspot.in/2008/07/companywise-asicvlsi-interview.htmlhttp://asic-soc.blogspot.in/2008/03/backend-physical-design-interview.htmlhttp://asic-soc.blogspot.in/2007/11/what-is-difference-between-fpga-and_06.htmlhttps://www.google.com/url?ct=abg&q=https://www.google.com/adsense/support/bin/request.py%3Fcontact%3Dabg_afc%26url%3Dhttp://asic-soc.blogspot.in/2007/10/power-planning.html%26gl%3DIN%26hl%3Den%26client%3Dca-pub-1595196203071025%26ai0%3D&usg=AFQjCNHu32aVi6KStP_7DsztysPL4jMMTwhttps://googleads.g.doubleclick.net/pagead/ads?client=ca-pub-1595196203071025&output=html&h=90&slotname=5918343930&adk=2981899533&w=200&lmt=1466633114&host=ca-host-pub-1556223355139109&h_ch=L0001&flash=22.0.0&url=http%3A%2F%2Fasic-soc.blogspot.in%2F2007%2F10%2Fpower-planning.html&wgl=1&dt=1466864743754&bpp=28&bdt=2132&fdt=32&idt=36&shv=r20160617&cbv=r20151006&saldr=sa&prev_fmts=200x90_0ads_al&prev_slotnames=5685207937&correlator=286982311406&frm=20&ga_vid=*&ga_sid=*&ga_hid=*&ga_fc=1&pv=1&iag=3&icsg=2&nhd=1&dssz=2&mdo=0&mso=0&u_tz=330&u_his=20&u_java=0&u_h=768&u_w=1366&u_ah=728&u_aw=1366&u_cd=24&u_nplug=5&u_nmime=7&dff=arial&dfs=14&biw=1349&bih=650&eid=575144605&ref=https%3A%2F%2Fwww.google.co.in%2F&rx=0&eae=0&fc=80&pc=1&brdim=0%2C0%2C0%2C0%2C1366%2C0%2C1366%2C728%2C1366%2C667&vis=1&rsz=%7C%7Co%7C&abl=CS&ppjl=t&pfx=0&fu=1040&bc=1&ifi=3&xpc=m2echvZRp9&p=http%3A//asic-soc.blogspot.in&dtd=48&rl_rc=true&adsense_enabled=true&ad_type=text&oe=utf8&format=fpkc_al_lp&kw_type=radlink&hl=en&kw0=Power+Transformer+Design&kw1=Power+Supply+Circuit&kw2=Power+Loss+Calculation&okw=Power+Loss+Calculation&rt=ChBXbpRlAAz6OwqPjEbnA4-OEhZQb3dlciBMb3NzIENhbGN1bGF0aW9uGgjSiEFxFtvdcSgBMAJSEwiXyfb-sMPNAhVWjo8KHX3OCHwhttps://googleads.g.doubleclick.net/pagead/ads?client=ca-pub-1595196203071025&output=html&h=90&slotname=5918343930&adk=2981899533&w=200&lmt=1466633114&host=ca-host-pub-1556223355139109&h_ch=L0001&flash=22.0.0&url=http%3A%2F%2Fasic-soc.blogspot.in%2F2007%2F10%2Fpower-planning.html&wgl=1&dt=1466864743754&bpp=28&bdt=2132&fdt=32&idt=36&shv=r20160617&cbv=r20151006&saldr=sa&prev_fmts=200x90_0ads_al&prev_slotnames=5685207937&correlator=286982311406&frm=20&ga_vid=*&ga_sid=*&ga_hid=*&ga_fc=1&pv=1&iag=3&icsg=2&nhd=1&dssz=2&mdo=0&mso=0&u_tz=330&u_his=20&u_java=0&u_h=768&u_w=1366&u_ah=728&u_aw=1366&u_cd=24&u_nplug=5&u_nmime=7&dff=arial&dfs=14&biw=1349&bih=650&eid=575144605&ref=https%3A%2F%2Fwww.google.co.in%2F&rx=0&eae=0&fc=80&pc=1&brdim=0%2C0%2C0%2C0%2C1366%2C0%2C1366%2C728%2C1366%2C667&vis=1&rsz=%7C%7Co%7C&abl=CS&ppjl=t&pfx=0&fu=1040&bc=1&ifi=3&xpc=m2echvZRp9&p=http%3A//asic-soc.blogspot.in&dtd=48&rl_rc=true&adsense_enabled=true&ad_type=text&oe=utf8&format=fpkc_al_lp&kw_type=radlink&hl=en&kw0=Power+Transformer+Design&kw1=Power+Supply+Circuit&kw2=Power+Loss+Calculation&okw=Power+Supply+Circuit&rt=ChBXbpRlAAz6OgqPjEbnA4-OEhRQb3dlciBTdXBwbHkgQ2lyY3VpdBoI6IpCG_okK3MoATACUhMIl8n2_rDDzQIVVo6PCh19zgh8https://googleads.g.doubleclick.net/pagead/ads?client=ca-pub-1595196203071025&output=html&h=90&slotname=5918343930&adk=2981899533&w=200&lmt=1466633114&host=ca-host-pub-1556223355139109&h_ch=L0001&flash=22.0.0&url=http%3A%2F%2Fasic-soc.blogspot.in%2F2007%2F10%2Fpower-planning.html&wgl=1&dt=1466864743754&bpp=28&bdt=2132&fdt=32&idt=36&shv=r20160617&cbv=r20151006&saldr=sa&prev_fmts=200x90_0ads_al&prev_slotnames=5685207937&correlator=286982311406&frm=20&ga_vid=*&ga_sid=*&ga_hid=*&ga_fc=1&pv=1&iag=3&icsg=2&nhd=1&dssz=2&mdo=0&mso=0&u_tz=330&u_his=20&u_java=0&u_h=768&u_w=1366&u_ah=728&u_aw=1366&u_cd=24&u_nplug=5&u_nmime=7&dff=arial&dfs=14&biw=1349&bih=650&eid=575144605&ref=https%3A%2F%2Fwww.google.co.in%2F&rx=0&eae=0&fc=80&pc=1&brdim=0%2C0%2C0%2C0%2C1366%2C0%2C1366%2C728%2C1366%2C667&vis=1&rsz=%7C%7Co%7C&abl=CS&ppjl=t&pfx=0&fu=1040&bc=1&ifi=3&xpc=m2echvZRp9&p=http%3A//asic-soc.blogspot.in&dtd=48&rl_rc=true&adsense_enabled=true&ad_type=text&oe=utf8&format=fpkc_al_lp&kw_type=radlink&hl=en&kw0=Power+Transformer+Design&kw1=Power+Supply+Circuit&kw2=Power+Loss+Calculation&okw=Power+Transformer+Design&rt=ChBXbpRlAAz6MAqPjEbnA4-OEhhQb3dlciBUcmFuc2Zvcm1lciBEZXNpZ24aCOnlcnxNZZ9XKAEwAlITCJfJ9v6ww80CFVaOjwodfc4IfAhttp://asic-soc.blogspot.in/p/layoff.htmlhttp://asic-soc.blogspot.in/p/training.htmlhttp://asic-soc.blogspot.in/p/jobs.htmlhttp://asic-soc.blogspot.in/p/link.htmlhttp://asic-soc.blogspot.in/p/verilog.htmlhttp://asic-soc.blogspot.in/p/formal-verification.htmlhttp://asic-soc.blogspot.in/p/dft.htmlhttp://asic-soc.blogspot.in/p/synthesis.htmlhttp://asic-soc.blogspot.in/p/static-timing-analysis.htmlhttp://asic-soc.blogspot.in/p/low-power-vlsi.htmlhttp://asic-soc.blogspot.in/p/physical-design.htmlhttp://asic-soc.blogspot.in/p/about-me.htmlhttp://asic-soc.blogspot.in/http://asic-soc.blogspot.com/2007/10/verilog-code-for-systolic-array-matrix.htmlhttp://3.bp.blogspot.com/_Se0VANaI9uM/RxoBSNKaH9I/AAAAAAAAADM/_hXJF-326w0/s1600-h/Power+Planning+methodology.JPGhttp://asic-soc.blogspot.in/2007/10/power-planning.htmlhttps://www.googleadservices.com/pagead/aclk?sa=L&ai=C9MhTZZRuV5LfLZaLvgS64prgCo_L5YhF_tG69PkBtbiehjQQASCUtfEJYOXK5YO0DqAB0qjjzAPIAQKoAwHIA8EEqgSVAU_QxT91aRs3xztizZYSFCYT7mrR0J2yt0OvnRQ9Mayu9SUCZx3rtobN91dq5l_0_fF1tzBXrATu5K8TnMzx6_8XL36_IlihYZAEZtnd2GVyhiAbR651EVfCjZAbeR-HKF1D5WhJXhD51mti6gu83kWPTM4gSbmpNiLNrEUl60g0Zi-7Yn-WqWRcj_YlZ0beK3-1E5JoiAYBoAYCgAeW15wzqAemvhvYBwHYEwg&num=1&cid=CAASEuRo1L4eg385WCMZ29LVUV3PMQ&sig=AOD64_3wTZZS3xJuSFIIeNZpm-hmLF7a4g&client=ca-pub-1595196203071025&adurl=http://4055.xg4ken.com/trk/v1%3Fprof%3D457%26camp%3D2240%26affcode%3Dcr409976%26kct%3Dgoogle%26kchid%3D4051553010%26cid%3D66768035702%7C282102%7C%26mType%3D%26networkType%3Dcontent%26kdv%3Dc%26criteriaid%3Dkwd-0%26adgroupid%3D14542545662%26campaignid%3D293956622%26locphy%3D1007768%26adpos%3Dnone%26url%3Dhttp://free.easypdfcombine.com/index.jhtml%3Fpartner%3D%5EBSB%5Exdm014https://www.google.com/url?ct=abg&q=https://www.google.com/adsense/support/bin/request.py%3Fcontact%3Dabg_afc%26url%3Dhttp://asic-soc.blogspot.in/2007/10/power-planning.html%26gl%3DIN%26hl%3Den%26client%3Dca-pub-1595196203071025%26ai0%3D&usg=AFQjCNHu32aVi6KStP_7DsztysPL4jMMTwhttps://googleads.g.doubleclick.net/pagead/ads?client=ca-pub-1595196203071025&output=html&h=15&slotname=5685207937&adk=419966577&w=728&lmt=1466633114&host=ca-host-pub-1556223355139109&h_ch=L0005&flash=22.0.0&url=http%3A%2F%2Fasic-soc.blogspot.in%2F2007%2F10%2Fpower-planning.html&wgl=1&dt=1466864743010&bpp=48&bdt=1389&fdt=56&idt=296&shv=r20160617&cbv=r20151006&saldr=sa&correlator=286982311406&frm=20&ga_vid=*&ga_sid=*&ga_hid=*&ga_fc=1&pv=2&iag=3&icsg=2&nhd=1&dssz=2&mdo=0&mso=0&u_tz=330&u_his=20&u_java=0&u_h=768&u_w=1366&u_ah=728&u_aw=1366&u_cd=24&u_nplug=5&u_nmime=7&dff=arial&dfs=14&biw=1349&bih=650&eid=575144605&ref=https%3A%2F%2Fwww.google.co.in%2F&rx=0&eae=0&fc=80&pc=1&brdim=0%2C0%2C0%2C0%2C1366%2C0%2C1366%2C728%2C1366%2C667&vis=1&rsz=%7C%7C%7C&abl=CS&ppjl=t&pfx=0&fu=1040&bc=1&ifi=1&xpc=VaimBnkk4I&p=http%3A//asic-soc.blogspot.in&dtd=380&rl_rc=true&adsense_enabled=true&ad_type=text&oe=utf8&format=fpkc_al_lp&prev_fmts=728x15_0ads_al&kw_type=radlink&hl=en&kw0=House+Plan+Design&kw1=Calculate+Power&kw2=Power+Inverter&kw3=Planning+Tool&okw=Planning+Tool&rt=ChBXbpRlAAlJpwqPi8L6BMxcEg1QbGFubmluZyBUb29sGghh3YAiAMmIBSgBUhMIltDi_rDDzQIVwxaPCh3SkQp3https://googleads.g.doubleclick.net/pagead/ads?client=ca-pub-1595196203071025&output=html&h=15&slotname=5685207937&adk=419966577&w=728&lmt=1466633114&host=ca-host-pub-1556223355139109&h_ch=L0005&flash=22.0.0&url=http%3A%2F%2Fasic-soc.blogspot.in%2F2007%2F10%2Fpower-planning.html&wgl=1&dt=1466864743010&bpp=48&bdt=1389&fdt=56&idt=296&shv=r20160617&cbv=r20151006&saldr=sa&correlator=286982311406&frm=20&ga_vid=*&ga_sid=*&ga_hid=*&ga_fc=1&pv=2&iag=3&icsg=2&nhd=1&dssz=2&mdo=0&mso=0&u_tz=330&u_his=20&u_java=0&u_h=768&u_w=1366&u_ah=728&u_aw=1366&u_cd=24&u_nplug=5&u_nmime=7&dff=arial&dfs=14&biw=1349&bih=650&eid=575144605&ref=https%3A%2F%2Fwww.google.co.in%2F&rx=0&eae=0&fc=80&pc=1&brdim=0%2C0%2C0%2C0%2C1366%2C0%2C1366%2C728%2C1366%2C667&vis=1&rsz=%7C%7C%7C&abl=CS&ppjl=t&pfx=0&fu=1040&bc=1&ifi=1&xpc=VaimBnkk4I&p=http%3A//asic-soc.blogspot.in&dtd=380&rl_rc=true&adsense_enabled=true&ad_type=text&oe=utf8&format=fpkc_al_lp&prev_fmts=728x15_0ads_al&kw_type=radlink&hl=en&kw0=House+Plan+Design&kw1=Calculate+Power&kw2=Power+Inverter&kw3=Planning+Tool&okw=Power+Inverter&rt=ChBXbpRlAAlJpQqPi8L6BMxcEg5Qb3dlciBJbnZlcnRlchoI29SOqaPPMUQoAVITCJbQ4v6ww80CFcMWjwod0pEKdwhttps://googleads.g.doubleclick.net/pagead/ads?client=ca-pub-1595196203071025&output=html&h=15&slotname=5685207937&adk=419966577&w=728&lmt=1466633114&host=ca-host-pub-1556223355139109&h_ch=L0005&flash=22.0.0&url=http%3A%2F%2Fasic-soc.blogspot.in%2F2007%2F10%2Fpower-planning.html&wgl=1&dt=1466864743010&bpp=48&bdt=1389&fdt=56&idt=296&shv=r20160617&cbv=r20151006&saldr=sa&correlator=286982311406&frm=20&ga_vid=*&ga_sid=*&ga_hid=*&ga_fc=1&pv=2&iag=3&icsg=2&nhd=1&dssz=2&mdo=0&mso=0&u_tz=330&u_his=20&u_java=0&u_h=768&u_w=1366&u_ah=728&u_aw=1366&u_cd=24&u_nplug=5&u_nmime=7&dff=arial&dfs=14&biw=1349&bih=650&eid=575144605&ref=https%3A%2F%2Fwww.google.co.in%2F&rx=0&eae=0&fc=80&pc=1&brdim=0%2C0%2C0%2C0%2C1366%2C0%2C1366%2C728%2C1366%2C667&vis=1&rsz=%7C%7C%7C&abl=CS&ppjl=t&pfx=0&fu=1040&bc=1&ifi=1&xpc=VaimBnkk4I&p=http%3A//asic-soc.blogspot.in&dtd=380&rl_rc=true&adsense_enabled=true&ad_type=text&oe=utf8&format=fpkc_al_lp&prev_fmts=728x15_0ads_al&kw_type=radlink&hl=en&kw0=House+Plan+Design&kw1=Calculate+Power&kw2=Power+Inverter&kw3=Planning+Tool&okw=Calculate+Power&rt=ChBXbpRlAAlJoQqPi8L6BMxcEg9DYWxjdWxhdGUgUG93ZXIaCHLoKV8f1b_OKAFSEwiW0OL-sMPNAhXDFo8KHdKRCnchttps://googleads.g.doubleclick.net/pagead/ads?client=ca-pub-1595196203071025&output=html&h=15&slotname=5685207937&adk=419966577&w=728&lmt=1466633114&host=ca-host-pub-1556223355139109&h_ch=L0005&flash=22.0.0&url=http%3A%2F%2Fasic-soc.blogspot.in%2F2007%2F10%2Fpower-planning.html&wgl=1&dt=1466864743010&bpp=48&bdt=1389&fdt=56&idt=296&shv=r20160617&cbv=r20151006&saldr=sa&correlator=286982311406&frm=20&ga_vid=*&ga_sid=*&ga_hid=*&ga_fc=1&pv=2&iag=3&icsg=2&nhd=1&dssz=2&mdo=0&mso=0&u_tz=330&u_his=20&u_java=0&u_h=768&u_w=1366&u_ah=728&u_aw=1366&u_cd=24&u_nplug=5&u_nmime=7&dff=arial&dfs=14&biw=1349&bih=650&eid=575144605&ref=https%3A%2F%2Fwww.google.co.in%2F&rx=0&eae=0&fc=80&pc=1&brdim=0%2C0%2C0%2C0%2C1366%2C0%2C1366%2C728%2C1366%2C667&vis=1&rsz=%7C%7C%7C&abl=CS&ppjl=t&pfx=0&fu=1040&bc=1&ifi=1&xpc=VaimBnkk4I&p=http%3A//asic-soc.blogspot.in&dtd=380&rl_rc=true&adsense_enabled=true&ad_type=text&oe=utf8&format=fpkc_al_lp&prev_fmts=728x15_0ads_al&kw_type=radlink&hl=en&kw0=House+Plan+Design&kw1=Calculate+Power&kw2=Power+Inverter&kw3=Planning+Tool&okw=House+Plan+Design&rt=ChBXbpRlAAlJjQqPi8L6BMxcEhFIb3VzZSBQbGFuIERlc2lnbhoIWqdSVjpVx-YoAVITCJbQ4v6ww80CFcMWjwod0pEKdwhttp://asic-soc.blogspot.in/
  • 7/25/2019 ASIC-System on Chip-VLSI Design_ Power Planning

    2/5

    http://asic-soc.blogspot.in/search/label/SoC%20Designhttp://asic-soc.blogspot.in/search/label/Semi%20Customhttp://asic-soc.blogspot.in/search/label/SRAM%20cell%20designhttp://asic-soc.blogspot.in/search/label/SPICEhttp://asic-soc.blogspot.in/search/label/RTLhttp://asic-soc.blogspot.in/search/label/Placementhttp://asic-soc.blogspot.in/search/label/OVMhttp://asic-soc.blogspot.in/search/label/New%20Deviceshttp://asic-soc.blogspot.in/search/label/Intelhttp://asic-soc.blogspot.in/search/label/Full%20Customhttp://asic-soc.blogspot.in/search/label/Floorplanninghttp://asic-soc.blogspot.in/search/label/EDAhttp://asic-soc.blogspot.in/search/label/Design%20For%20Test%20%28DFT%29http://asic-soc.blogspot.in/search/label/DVFShttp://asic-soc.blogspot.in/search/label/Clock%20Tree%20Synthesis%20%28CTS%29http://asic-soc.blogspot.in/search/label/ASIC%20syynthesishttp://asic-soc.blogspot.in/search/label/setup%20timehttp://asic-soc.blogspot.in/search/label/operating%20Conditionhttp://asic-soc.blogspot.in/search/label/hold%20timehttp://asic-soc.blogspot.in/search/label/System%20on%20Chiphttp://asic-soc.blogspot.in/search/label/Reconfigurable%20Computinghttp://asic-soc.blogspot.in/search/label/Power%20Planninghttp://asic-soc.blogspot.in/search/label/Multi%20Vthttp://asic-soc.blogspot.in/search/label/Multi%20Vddhttp://asic-soc.blogspot.in/search/label/Design%20For%20Test-DFThttp://asic-soc.blogspot.in/search/label/VLSIhttp://asic-soc.blogspot.in/search/label/VIPhttp://asic-soc.blogspot.in/search/label/Leakage%20Powerhttp://asic-soc.blogspot.in/search/label/interviewhttp://asic-soc.blogspot.in/search/label/Synopsyshttp://asic-soc.blogspot.in/search/label/STAhttp://asic-soc.blogspot.in/search/label/PIC%2016F877Ahttp://asic-soc.blogspot.in/search/label/Librarieshttp://asic-soc.blogspot.in/search/label/.libhttp://asic-soc.blogspot.in/search/label/low%20powerhttp://asic-soc.blogspot.in/search/label/constraintshttp://asic-soc.blogspot.in/search/label/PIC%20Microcontrollerhttp://asic-soc.blogspot.in/search/label/Digital%20filtershttp://asic-soc.blogspot.in/search/label/Basic%20gates%20using%20MUXhttp://asic-soc.blogspot.in/search/label/3-D%20ICshttp://asic-soc.blogspot.in/search/label/Asynchronous%20FIFOhttp://asic-soc.blogspot.in/search/label/Verilog%20Classeshttp://asic-soc.blogspot.in/search/label/CMOShttp://asic-soc.blogspot.in/search/label/Digital%20designhttp://asic-soc.blogspot.in/search/label/DSP%20filtershttp://asic-soc.blogspot.in/search/label/Physical%20Designhttp://asic-soc.blogspot.in/search/label/Verification%20IPhttp://asic-soc.blogspot.in/search/label/Mentor%20Graphicshttp://asic-soc.blogspot.in/search/label/Timing%20Analysishttp://asic-soc.blogspot.in/search/label/MATLABhttp://asic-soc.blogspot.in/search/label/FPGAhttp://asic-soc.blogspot.in/search/label/logic%20synthesishttp://asic-soc.blogspot.in/search/label/Low%20Power%20Techniqueshttp://asic-soc.blogspot.in/search/label/logic%20synhesishttp://asic-soc.blogspot.in/search/label/Static%20Timing%20Analysis%20%28STA%29http://asic-soc.blogspot.in/search/label/HDLhttp://asic-soc.blogspot.in/search/label/DSPhttp://asic-soc.blogspot.in/search/label/ASIChttp://asic-soc.blogspot.in/search/label/verilog%20tutorial%20for%20beginnershttps://www.googleadservices.com/pagead/aclk?sa=L&ai=CV0nsZZRuV--VNsaxvAST3KGICbWQ7IxFvN7JhuAC3KCn0MMEEAEglLXxCWDlyuWDtA6gAazlutUDyAEJqAMBqgSeAU_QqtE9P_LKbQusLen6QfH8wgdXBN00oiQUegqp9jhTCgWnaaHP_T4mi9zArf358fJlWHzuBUsA6bNxs314vkNhvIN6mTx7q-qkKYFf-mIGYYKC1qvoXMsl9j9kyXi0rWukkIlZxDzK0uwJU_bXLgOHuxTEmONXDt017GnJZtUu1zRGTR6P-2uOzi5JrNj9Cb6hLG88sb-EzeQ5ckRh-gUGCCUQARgDiAYBoAYugAe8msUqqAemvhvYBwDYEww&num=1&cid=CAASEuRofr8gukmTHyKF62uvD1aa4g&sig=AOD64_28bhGMfDMyGSC_rlQ4-5Ms5crfTQ&adurl=http://www.amazon.in/Obi-Worldphone-SF1-Black-32GB/dp/B018VN229E%3Ftag%3Dgooginremarketing26-21%26tag%3Dgooginremarketing25-21&client=ca-pub-1595196203071025https://www.googleadservices.com/pagead/aclk?sa=L&ai=CL-H2ZZRuV--VNsaxvAST3KGICbWQ7IxFvN7JhuAC3KCn0MMEEAEglLXxCWDlyuWDtA6gAazlutUDyAEJqAMBqgSeAU_QqtE9P_LKbQusLen6QfH8wgdXBN00oiQUegqp9jhTCgWnaaHP_T4mi9zArf358fJlWHzuBUsA6bNxs314vkNhvIN6mTx7q-qkKYFf-mIGYYKC1qvoXMsl9j9kyXi0rWukkIlZxDzK0uwJU_bXLgOHuxTEmONXDt017GnJZtUu1zRGTR6P-2uOzi5JrNj9Cb6hLG88sb-EzeQ5ckRh-gUGCCUQARgCiAYBoAYugAe8msUqqAemvhvYBwDYEww&num=1&cid=CAASEuRofr8gukmTHyKF62uvD1aa4g&sig=AOD64_1R9S4tt-5hm2-hGpwTDFRbgB2pHw&adurl=http://www.amazon.in/Acer-E5-573G-380S-15-6-inch-Graphics-Charcoal/dp/B017DHV17I%3Ftag%3Dgooginremarketing26-21%26tag%3Dgooginremarketing25-21&client=ca-pub-1595196203071025https://www.googleadservices.com/pagead/aclk?sa=L&ai=CRMkTZZRuV--VNsaxvAST3KGICbWQ7IxFvN7JhuAC3KCn0MMEEAEglLXxCWDlyuWDtA6gAazlutUDyAEJqAMBqgSeAU_QqtE9P_LKbQusLen6QfH8wgdXBN00oiQUegqp9jhTCgWnaaHP_T4mi9zArf358fJlWHzuBUsA6bNxs314vkNhvIN6mTx7q-qkKYFf-mIGYYKC1qvoXMsl9j9kyXi0rWukkIlZxDzK0uwJU_bXLgOHuxTEmONXDt017GnJZtUu1zRGTR6P-2uOzi5JrNj9Cb6hLG88sb-EzeQ5ckRh-gUGCCUQARgBiAYBoAYugAe8msUqqAemvhvYBwDYEww&num=1&cid=CAASEuRofr8gukmTHyKF62uvD1aa4g&sig=AOD64_2dmuVVC3FXFJd6X3QhoZE-K2mSDQ&adurl=http://www.amazon.in/Asus-A555LA-XX2064T-15-6-inch-i3-5010U-Integrated/dp/B01ABXKNP2%3Ftag%3Dgooginremarketing26-21%26tag%3Dgooginremarketing25-21&client=ca-pub-1595196203071025https://www.googleadservices.com/pagead/aclk?sa=L&ai=Ct8Z7ZZRuV--VNsaxvAST3KGICbWQ7IxFvN7JhuAC3KCn0MMEEAEglLXxCWDlyuWDtA6gAazlutUDyAEJqAMBqgSeAU_QqtE9P_LKbQusLen6QfH8wgdXBN00oiQUegqp9jhTCgWnaaHP_T4mi9zArf358fJlWHzuBUsA6bNxs314vkNhvIN6mTx7q-qkKYFf-mIGYYKC1qvoXMsl9j9kyXi0rWukkIlZxDzK0uwJU_bXLgOHuxTEmONXDt017GnJZtUu1zRGTR6P-2uOzi5JrNj9Cb6hLG88sb-EzeQ5ckRh-gUGCCUQARgAiAYBoAYugAe8msUqqAemvhvYBwDYEww&num=1&cid=CAASEuRofr8gukmTHyKF62uvD1aa4g&sig=AOD64_0NOd53c4pJ0Z-YTlbPrCSNxYtnTg&adurl=http://www.amazon.in/Asus-F550CC-CJ979H-15-6-inch-Touchscreeen-i3-3217U/dp/B00JWPLHK6%3Ftag%3Dgooginremarketing26-21%26tag%3Dgooginremarketing25-21&client=ca-pub-1595196203071025https://www.googleadservices.com/pagead/aclk?sa=L&ai=Ct8Z7ZZRuV--VNsaxvAST3KGICbWQ7IxFvN7JhuAC3KCn0MMEEAEglLXxCWDlyuWDtA6gAazlutUDyAEJqAMBqgSeAU_QqtE9P_LKbQusLen6QfH8wgdXBN00oiQUegqp9jhTCgWnaaHP_T4mi9zArf358fJlWHzuBUsA6bNxs314vkNhvIN6mTx7q-qkKYFf-mIGYYKC1qvoXMsl9j9kyXi0rWukkIlZxDzK0uwJU_bXLgOHuxTEmONXDt017GnJZtUu1zRGTR6P-2uOzi5JrNj9Cb6hLG88sb-EzeQ5ckRh-gUGCCUQARgAiAYBoAYugAe8msUqqAemvhvYBwDYEww&num=1&cid=CAASEuRofr8gukmTHyKF62uvD1aa4g&sig=AOD64_0NOd53c4pJ0Z-YTlbPrCSNxYtnTg&adurl=http://www.amazon.in/Asus-F550CC-CJ979H-15-6-inch-Touchscreeen-i3-3217U/dp/B00JWPLHK6%3Ftag%3Dgooginremarketing26-21%26tag%3Dgooginremarketing25-21&client=ca-pub-1595196203071025
  • 7/25/2019 ASIC-System on Chip-VLSI Design_ Power Planning

    3/5

    http://asic-soc.blogspot.in/search/label/OpenSPARC%20processorhttp://asic-soc.blogspot.in/search/label/NoChttp://asic-soc.blogspot.in/search/label/Net%20delayhttp://asic-soc.blogspot.in/search/label/Nangate%2045nm%20cell%20librarieshttp://asic-soc.blogspot.in/search/label/Monitorshttp://asic-soc.blogspot.in/search/label/Microprocessorshttp://asic-soc.blogspot.in/search/label/Memory%20Designhttp://asic-soc.blogspot.in/search/label/Magmahttp://asic-soc.blogspot.in/search/label/Lynx%20Design%20Systemhttp://asic-soc.blogspot.in/search/label/Lay-offhttp://asic-soc.blogspot.in/search/label/Jobshttp://asic-soc.blogspot.in/search/label/Intrinsic%20Delayhttp://asic-soc.blogspot.in/search/label/Internal%20Powerhttp://asic-soc.blogspot.in/search/label/Indsustry%20watchhttp://asic-soc.blogspot.in/search/label/IPshttp://asic-soc.blogspot.in/search/label/IP%20Coreshttp://asic-soc.blogspot.in/search/label/ICVhttp://asic-soc.blogspot.in/search/label/IC%20Fabricationhttp://asic-soc.blogspot.in/search/label/History%20of%20VLSIhttp://asic-soc.blogspot.in/search/label/Gate%20Delayhttp://asic-soc.blogspot.in/search/label/Frontend%20traininghttp://asic-soc.blogspot.in/search/label/Flash%20memoryhttp://asic-soc.blogspot.in/search/label/Finite%20State%20Machinehttp://asic-soc.blogspot.in/search/label/FSMhttp://asic-soc.blogspot.in/search/label/FIR%20Filterhttp://asic-soc.blogspot.in/search/label/FFThttp://asic-soc.blogspot.in/search/label/Embedded%20traininghttp://asic-soc.blogspot.in/search/label/Embedded%20etchttp://asic-soc.blogspot.in/search/label/Embedded%20Systemshttp://asic-soc.blogspot.in/search/label/Embedded%20Jobshttp://asic-soc.blogspot.in/search/label/Dynamic%20Powerhttp://asic-soc.blogspot.in/search/label/Design%20For%20Manufacture-DFMhttp://asic-soc.blogspot.in/search/label/Delayshttp://asic-soc.blogspot.in/search/label/Deep%20Sub%20Micron%20Issueshttp://asic-soc.blogspot.in/search/label/DTMFhttp://asic-soc.blogspot.in/search/label/DFThttp://asic-soc.blogspot.in/search/label/CoreConnect%20Bushttp://asic-soc.blogspot.in/search/label/Congestionhttp://asic-soc.blogspot.in/search/label/Clock%20definitionshttp://asic-soc.blogspot.in/search/label/Clock%20Logichttp://asic-soc.blogspot.in/search/label/Clock%20Gatinghttp://asic-soc.blogspot.in/search/label/CMOS%20Designhttp://asic-soc.blogspot.in/search/label/Broadcomhttp://asic-soc.blogspot.in/search/label/Blocking%20Vs%20Nonblockinghttp://asic-soc.blogspot.in/search/label/Basic%20Microelectronicshttp://asic-soc.blogspot.in/search/label/Backend%20traininghttp://asic-soc.blogspot.in/search/label/ASIC%20Jobshttp://asic-soc.blogspot.in/search/label/AMBA%20Bushttp://asic-soc.blogspot.in/search/label/AMBA%20AXIhttp://asic-soc.blogspot.in/search/label/AMBA%20APBhttp://asic-soc.blogspot.in/search/label/AMBA%20AHBhttp://asic-soc.blogspot.in/search/label/7%20Segment%20Displayhttp://asic-soc.blogspot.in/search/label/5.2.8.%20Blocking%20vs%20non-blocking-race%20conditionhttp://asic-soc.blogspot.in/search/label/layouthttp://asic-soc.blogspot.in/search/label/UVMhttp://asic-soc.blogspot.in/search/label/Transition%20delayhttp://asic-soc.blogspot.in/search/label/Timing%20pathshttp://asic-soc.blogspot.in/search/label/SoC%20Integrationhttp://asic-soc.blogspot.com/2007/10/power-planning.html?showComment=1206347040000#c5922602811348103552https://www.blogger.com/profile/05927561262168582763http://asic-soc.blogspot.com/2007/10/power-planning.html?showComment=1206303480000#c1975031107388904319http://asic-soc.blogspot.com/2007/10/routing.htmlhttp://asic-soc.blogspot.com/2007/10/clock-tree-synthesis-cts.htmlhttp://asic-soc.blogspot.com/2007/10/placement.htmlhttp://asic-soc.blogspot.com/2007/10/timing-analysis-in-physical-design.htmlhttp://asic-soc.blogspot.com/2007/10/power-planning.htmlhttp://asic-soc.blogspot.com/2007/10/inputsoutputs-from-physical-design.htmlhttp://asic-soc.blogspot.com/2007/10/libraries-in-physical-design.htmlhttp://asic-soc.blogspot.com/2007/10/physical-design-flow.htmlhttp://1.bp.blogspot.com/_Se0VANaI9uM/RxoAotKaH8I/AAAAAAAAADE/gwjdJITEdmE/s1600-h/strap_trunk.gifhttp://asic-soc.blogspot.in/search/label/Power%20Planning
  • 7/25/2019 ASIC-System on Chip-VLSI Design_ Power Planning

    4/5

    6/25/2016 ASIC-System on Chip-VLSI Design: Power Planning

    http://asic-soc.blogspot.in/2007/10/power-planning.html

    Replies

    Reply

    Anonymous March 26, 2008 at 1:38 AM

    I think that formulas for Current supply from each side of the block: should be

    Itop=Ibottom= { Iblock *[Wblock / (Wblock +Hblock)] }/2

    Ileft=Iright= { Iblock *[Hblock / (Wblock +Hblock)] }/2

    Could you please recheck?

    Reply

    Anonymous March 26, 2008 at 1:41 AMI think that formulas for Current supply from each side of the block: should be

    Itop=Ibottom= { Iblock *[Wblock / (Wblock +Hblock)] }/2

    Ileft=Iright= { Iblock *[Hblock / (Wblock +Hblock)] }/2

    Could you please recheck?

    Reply

    Anonymous December 24, 2008 at 10:02 PM

    what is Roe here

    Reply

    AnonymousApril 11, 2009 at 3:54 PM

    What is Roe & routing pitch and from where we get these values???

    Reply

    Anonymous October 30, 2012 at 8:51 PM

    Hi, Roe is the sheet resistance of the metal layer used for the routing, that is,the

    resistivity rho divided by the thickness of the routing layer

    AnonymousJune 24, 2009 at 3:04 PM

    how to draw PG mesh accurately?

    Reply

    ravikumarJuly 22, 2010 at 11:45 AM

    where we get core voltage? its get from .lib library or not

    Reply

    Anonymous October 9, 2010 at 11:18 AM

    Can any one tell.,

    steps to calculate powerplanning with formulaes in Vlsi chip design.

    Reply

    pruthvi February 11, 2014 at 2:56 PM

    fro where we get maximum current density of metal value?

    Reply

    O

    P

    Ptr

    P

    P

    P

    Q

    R

    R

    R

    S

    S

    S

    S

    S

    S

    SL

    S

    Te(T

    T

    V

    V

    V

    V

    W

    W

    W

    W

    frp

    jit

    la

    o

    o

    p

    sk

    tr

    tr

    u

    http://asic-soc.blogspot.in/search/label/unceraintyhttp://asic-soc.blogspot.in/search/label/transition%20violationhttp://asic-soc.blogspot.in/search/label/transition%20fixinghttp://asic-soc.blogspot.in/search/label/skewhttp://asic-soc.blogspot.in/search/label/process%20variationhttp://asic-soc.blogspot.in/search/label/optimizationhttp://asic-soc.blogspot.in/search/label/optical%20lithographyhttp://asic-soc.blogspot.in/search/label/latencyhttp://asic-soc.blogspot.in/search/label/jitterhttp://asic-soc.blogspot.in/search/label/free%20download%20of%20processorhttp://asic-soc.blogspot.in/search/label/Wire%20load%20modelshttp://asic-soc.blogspot.in/search/label/Western%20Digitalhttp://asic-soc.blogspot.in/search/label/Washing%20Machinehttp://asic-soc.blogspot.in/search/label/WLMhttp://asic-soc.blogspot.in/search/label/Voltage%20Scalinghttp://asic-soc.blogspot.in/search/label/VLSI%20traininghttp://asic-soc.blogspot.in/search/label/VLSI%20fabricationhttp://asic-soc.blogspot.in/search/label/VLSI%20Jobshttp://asic-soc.blogspot.in/search/label/Traininghttp://asic-soc.blogspot.in/search/label/Texas%20Instruments%20%28TI%29http://asic-soc.blogspot.in/search/label/Systolic%20Arrayhttp://asic-soc.blogspot.in/search/label/Sub%20Threshold%20Leakagehttp://asic-soc.blogspot.in/search/label/Static%20memory%20designhttp://asic-soc.blogspot.in/search/label/Short%20Circuit%20Powerhttp://asic-soc.blogspot.in/search/label/Sandiskhttp://asic-soc.blogspot.in/search/label/SRAM%20Chiphttp://asic-soc.blogspot.in/search/label/SNUGhttp://asic-soc.blogspot.in/search/label/SDChttp://asic-soc.blogspot.in/search/label/Routinghttp://asic-soc.blogspot.in/search/label/Reset%20Logichttp://asic-soc.blogspot.in/search/label/RTL%20Codinghttp://asic-soc.blogspot.in/search/label/Qualcommhttp://asic-soc.blogspot.in/search/label/Protocolshttp://asic-soc.blogspot.in/search/label/Propagation%20delayhttp://asic-soc.blogspot.in/search/label/Power%20Gatinghttp://asic-soc.blogspot.in/search/label/Physical%20Design%20traininghttp://asic-soc.blogspot.in/search/label/PVT%20vs%20STAhttp://asic-soc.blogspot.in/search/label/Others%20..DSPhttp://asic-soc.blogspot.com/2007/10/power-planning.html?showComment=1392110817129#c2591625331413533482https://www.blogger.com/profile/06926829484415196170http://asic-soc.blogspot.com/2007/10/power-planning.html?showComment=1286603303456#c289866228170051294http://asic-soc.blogspot.com/2007/10/power-planning.html?showComment=1279779312693#c2214724805222855770https://www.blogger.com/profile/10109838167086418515http://asic-soc.blogspot.com/2007/10/power-planning.html?showComment=1245836059945#c2818429209806653862http://asic-soc.blogspot.com/2007/10/power-planning.html?showComment=1351610496119#c5175223038207318793http://asic-soc.blogspot.com/2007/10/power-planning.html?showComment=1239445440000#c4080174633562106831http://asic-soc.blogspot.com/2007/10/power-planning.html?showComment=1230136320000#c3514629174337350391http://asic-soc.blogspot.com/2007/10/power-planning.html?showComment=1206475860000#c2781057517586006643http://asic-soc.blogspot.com/2007/10/power-planning.html?showComment=1206475680000#c2015378555339860548
  • 7/25/2019 ASIC-System on Chip-VLSI Design_ Power Planning

    5/5

    6/25/2016 ASIC-System on Chip-VLSI Design: Power Planning

    http://asic-soc.blogspot.in/2007/10/power-planning.html

    Newer Post Older PostHome

    Subscribe to: Post Comments (Atom)

    Enter your comment...

    Comment as: Select profile...

    Publish

    Preview

    Your Comments... (comments are moderated)

    Murali

    M.Sc in ElectronicsM.S in VLSI System Designworked 3 years as

    design engineer in embedded system domain experience of PICand 8051 based microcontroller applicationsworking as VLSI

    physical design engineer photography,travel and literature are my

    hobbies.

    View my complete profile

    About Me

    This work is licensed under a Creative Commons Attribution-

    Share Alike 2.5 India License.

    Copyright/Disclaimer

    Thank You for reading this blog !

    Thank You !

    22

    Pageviews l

    Like Share

    "Nahi Jnanena Sadrusham". Simple template. Powered by Blogger.

    https://www.blogger.com/http://creativecommons.org/licenses/by-sa/2.5/in/http://creativecommons.org/licenses/by-sa/2.5/in/https://www.blogger.com/profile/05927561262168582763https://www.blogger.com/profile/05927561262168582763http://asic-soc.blogspot.com/feeds/5401083308065520578/comments/defaulthttp://asic-soc.blogspot.in/http://asic-soc.blogspot.in/2007/10/floor-planning.htmlhttp://asic-soc.blogspot.in/2007/10/digital-design-interview-questions.html