ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced...

47
ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Course s 12-04-2002 台台台台台 台台台 台台

Transcript of ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced...

Page 1: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB

Graduate Institute of Electronics Engineering, NTU

Low-Power CMOS Design

For Advanced VLSI Design and VLSI Signal Processing Courses

12-04-2002

台大電機系 吳安宇 教授

Page 2: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 2

Data SourceData Source

“Low-power Circuit Design Basics,” by Prof. Jan M.

Rabaey, UC Berkerly, in tutorial of ISCAS, London,

1994.

“Can we simultaneously achieve High Speed and L

ow Power in IC Design?” by Prof. Wentai Liu in 7th

VLSI/CAD Symposium, 1996.

Chapter 17 of Textbook “VLSI Digital Signal Proces

sing Systems: Design and Implementation," by K. K.

Parhi, Wiley-Interscience Publication, 1999.

Page 3: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 3

Low Power Design – Low Power Design – An Emerging DisciplineAn Emerging Discipline

Historical figure of merit for VLSI design – performance (circuit speed) and chip area (circuit density/cost). But

Power dissipation is now an important metric in VLSI design. No single major source for power savings across all design

levels – Required a new way of THINKING!!! Companies lack the basic power-conscious culture and

designers need to be educated in this respect.

Overall Goal – To reduce power dissipations but maintaining adequate throughput rate.

Page 4: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 4

Motivation - MicroprocessorMotivation - Microprocessor

Page 5: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 5

Motivation - MicroprocessorMotivation - Microprocessor

Page 6: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 6

Competitive Reasons – Low PowerCompetitive Reasons – Low Power Battery Powered Systems – Extended Battery Life an

d reduce weight and size. High-Performance Systems

CostPackage (chip carrier, heat sink, card slots, plenum, …)Power Systems (supplies, distribution, regulators, …)Fans (noise, power, reliability, area, …)Operating cost to customer – Energy Star issue.

ReliabilityFailure rate increase by 4X for Tj @ 110C vs 70CMission critical operation at 100C

Size and Weight – Product footprint (office and deskspace)

Page 7: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 7

The Power Crisis : PortabilityThe Power Crisis : Portability

Expected Battery Lifetime increaseOver next 5 years: 30-40%

PDA, Cellular Phone,Notebook Computer,etc.

Page 8: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 8

A Multimedia Terminal – The InfopadA Multimedia Terminal – The Infopad

Present day battery technology (year 1990) – 20 lbs for 10hrs

Page 9: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 9

IC Design SpaceIC Design Space

Page 10: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 10

Low Power DesignLow Power DesignSource of power disspation

P = P switching + P short-circuit + P leakage + P static

Definitions:Switching power P = CV2fαShort circuit power P = IscV

Leakage power P = IleakageV

Static power P = IstaticV

α : switching activity factor

Low power design would look at the trade-offs of the above issues

Page 11: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 11

Dynamic Power ConsumptionDynamic Power Consumption

Not a function of transistor sizes!Need to reduce CL, Vdd, and f ti reduce power

Reduce the probability, P0 -> 1

Energy/transition = CL * Vdd2

Power = Energy/transition * f = CL * Vdd2 * f

Page 12: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 12

Dynamic Power Consumption - ExtendDynamic Power Consumption - Extendeded

Power = Energy/transition * transition rate

= CL * Vdd2 * f0->1

= CL * Vdd2 * P0->1 * f

= CEFF * Vdd2 * f

Power Dissipation is Data Dependent Function of Switching Activity

CEFF = Effective Capacitance = CL * P0->1

Page 13: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 13

Ultra Low Power System DesignUltra Low Power System Design Power minimization approaches:

Run at minimum allowable voltageMinimize effective switching capacitance

Page 14: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 14

ProcessProcess Progress in SOI and bulk silicon

(a) 0.5V operation of ICs using SOI technology (b) 0.9V operation of bulk silicon memory, logic, and

processors

Increasing densities and clock frequencies have pushed the power up even with reduce power supply

Page 15: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 15

Choice of Logic StyleChoice of Logic Style

Page 16: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 16

Choice of Logic StyleChoice of Logic Style

Power-delay product improves as voltage decreases The “best” logic style minimizes power-delay for a given del

ay constraint

Page 17: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 17

Power Consumption is Data Power Consumption is Data DependentDependent

Example : Static 2 Input NOR Gate

Assume : P(A=1) = ½ P(B=1) = ½Then : P(Out=1) = ¼ P(0→1) = P(Out=0).P(Out=1)

=3/4 * 1/4 = 3/16

CEFF = 3/16 * CL

Page 18: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 18

Transition Probability of 2-input NOR Transition Probability of 2-input NOR GateGate

as a function of input probabilities

Page 19: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 19

Switching Activity (Switching Activity (αα) : Example) : Example

Page 20: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 20

Glitching in Static CMOSGlitching in Static CMOS

Page 21: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 21

At the Datapath Level…At the Datapath Level…ReusableIrregular

Page 22: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 22

Balancing OperationsBalancing Operations

Page 23: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 23

Carry RippleCarry Ripple

Page 24: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 24

Data RepresentationData Representation

Page 25: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 25

Low Power Design Consideration (cont’)Low Power Design Consideration (cont’)

(Binary v.s. Gray Encoding)

Page 26: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 26

Resource Sharing Can Increase Resource Sharing Can Increase ActivityActivity

(Separate Bus Structure)

Page 27: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 27

Resource Sharing Can Increase Resource Sharing Can Increase Activity (cont’d)Activity (cont’d)

Page 28: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 28

Operating at the Operating at the Lowest Possible Voltage!Lowest Possible Voltage!

Desire to operate at lowest possible speeds (using low supply voltages)

Use Architecture optimization to compensate for slower operation

Approach : Trade-off AREA for lower POWER

Page 29: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 29

Reducing VReducing Vdddd

Page 30: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 30

Lowering VLowering Vdd dd Increases DelayIncreases Delay

• Concept of Dynamic Voltage Scaling (DVS)

Page 31: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 31

Architecture Trade-offs : Reference Architecture Trade-offs : Reference Data PathData Path

Page 32: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 32

Parallel Data PathParallel Data Path

Page 33: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 33

Pipelined Data PathPipelined Data Path

Page 34: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 34

A Simple Data Path : SummaryA Simple Data Path : Summary

Page 35: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 35

Computational Complexity of DCT Computational Complexity of DCT AlgorithmsAlgorithms

Page 36: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 36

Power Down TechniquesPower Down Techniques• Concept of Dynamic Frequency Scaling (DFS)

Page 37: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 37

Energy-efficient Software CodingEnergy-efficient Software Coding Potential for power reduction via software

modification is relatively unexploited. Code size and algorithmic efficiency can significantly

affect energy dissipation Pipelining at software level- VLIW coding style Examples -

Page 38: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 38

Power Hunger – Clock Network Power Hunger – Clock Network (Always Ticking)(Always Ticking)

H-Tree – design deficiencies based on Elmore delay model

PLL – every designer (digital or analog) should have the knowledge of PLL

Multiple frequencies in chips/systems – by PLLLow main frequency, ButJitter and Noise, Gain and Bandwidth, Pull-in and Lock

Time, Stability …

Local time zone Self-Timed Asynchronous => Use Gated Clocks, Sleep Mode

Page 39: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 39

Power Analysis in the Design FlowPower Analysis in the Design Flow

Page 40: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 40

Human Wearable Computing - PowerHuman Wearable Computing - Power Wearable computing – embedding computer into clothing

or creating a form that can be used like clothing Current computing is limited by battery capacity, output

current, and electrical outlet for recharging

Page 41: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 41

ConclusionsConclusions High-speed design is a requirement for many applications

Low-power design is also a requirement for IC designers.

A new way of THINKING to simultaneously achieve both!!!

Low power impacts in the cost, size, weight, performance, an

d reliability.

Variable Vdd and Vt is a trend (DVS and DFS)

CAD tools high-level power estimation and management

Don’t just work on VLSI, pay attention to Microelectromechanical Systems (MEMS) – lots of problems and potential is

great.

Page 42: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 42

ApplicationsApplicationsPortable Multimedia TerminalWireless C&CSystem on Chip (From Dr. Yang of Windbon

d)

Page 43: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 43

Applications IApplications IWireless Computing/CommunicationWireless Computing/Communication

Page 44: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 44

Applications IIApplications IIA Portable Multimedia TerminalA Portable Multimedia Terminal

Page 45: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 45

Applications IIIApplications IIISystem Value of IC ProductSystem Value of IC Product

Concept of lays

Page 46: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 46

Applications IVApplications IVSystem on ChipSystem on Chip

Entire system functionLogic + MemoryMore than two types of devices

Allow more freedom in architectureConst/Performance trade-off

Page 47: ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU Low-Power CMOS Design For Advanced VLSI Design and VLSI Signal Processing Courses 12-04-2002.

ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU

pp. 47

Applications VApplications VNew Opportunity for Taiwan IC IndustryNew Opportunity for Taiwan IC Industry

PASTDigital ICµ PIBM Compatible + MD-DOS

FUTURESystem On Chip

Reduce head-on competition on standard productsTechnology will be availableManufacturing Service availableSame starting point as other countriesCan have more R/D focus