74881o

7
Critical Dimension Uniformity using Reticle Inspection Tool b Mark Wylie, b Trent Hutchinson, b Gang Pan, b Thomas Vavul, b John Miller, b Aditya Dayal, b Carl Hess a Mike Green, a Shad Hedges, a Dan Chalom, a Maciej Rudzinski, a Craig Wood, a Jeff McMurran a Photronics nanoFab North America, Boise, ID, 83716 b KLA-Tencor Corporation, San Jose, CA, 95134 The Critical Dimension Uniformity (CDU) specification on photomasks continues to decrease with each successive node. The ITRS roadmap for optical masks indicates that the CDU (3 sigma) for dense lines on binary or attenuated phase shift mask is 3.4nm for the 45nm half-pitch (45HP) node and will decrease to 2.4nm for the 32HP node. The current capability of leading-edge mask shop patterning processes results in CDU variation across the photomask of a similar magnitude. Hence, we are entering a phase where the mask CDU specification is approaching the limit of the capability of the current Process of Record (POR). Mask shops have started exploring more active mechanisms to improve the CDU capability of the mask process. A typical application is feeding back the CDU data to adjust the mask writer dose to compensate for non-uniformity in the CDs, resulting in improved quality of subsequent masks. Mask makers are currently using the CD-SEM tool for this application. While the resolution of SEM data ensures its position as the industry standard and continued requirement to establish the photomask CD Mean to Target value, a dense measurement of CDs across the reticle with minimal cycle time impact would have value. In this paper, we describe the basic theory and application of a new, reticle inspection intensity-based CDU approach that has the advantage of dense sampling over larger areas on the mask. The TeraScanHR high NA reticle inspection system is used in this study; it can scan the entire reticle at relatively high throughput, and is ideally suited for collecting dense CDU data. We describe results obtained on advanced memory masks and discuss applications of CDU maps for optimizing the mask manufacturing process. A reticle inspection map of CDU is complementary to CD-SEM data. The dense data set has value for various applications, including feedback to mask writer and engineering analysis within the mask shop. 1 Introduction CD control, specifically CDU and Mean to Target (MTT) values, are critical specifications for a photomask. Non- uniformity in reticle CDs and deviation from target CD cause significant yield loss during chip manufacturing, as well as reducing the optimal process window for advanced semiconductor manufacturing. The CDU and MTT information can also be used to improve the mask manufacturing process. Mask writer global exposure dose is adjusted to compensate for MTT shift. The CDU can also be corrected on the latest pattern generator tools using a number of advanced techniques. Currently, SEM CD measurement tools are used to certify the various CD metrics for reticles prior to shipment. The measurement of the CD on a SEM is an absolute measure of CD in the reticle x-y plane that uses a single pattern for the reference. However, measuring enough sites to perform the regression analysis required to correct CDU in a feedback-loop significantly increases time at the CD SEM production step and impacts mask cycle time. Mask shops would prefer a CDU map which contains dense measurements and covers large areas on the mask. Since the mask inspection tool scans the entire reticle, the inspection system is ideally placed to generate a CDU map. This dense map can also be utilized to detect localized CD errors or hot spots that may be missed by SEM measurement due to the granular nature of the CD SEM measurement process. The output of the map can be used to optimize the mask Photomask Technology 2009, edited by Larry S. Zurbrick, M. Warren Montgomery, Proc. of SPIE Vol. 7488, 74881O · © 2009 SPIE · CCC code: 0277-786X/09/$18 · doi: 10.1117/12.830148 Proc. of SPIE Vol. 7488 74881O-1 Downloaded from SPIE Digital Library on 18 Nov 2009 to 192.146.1.254. Terms of Use: http://spiedl.org/terms

description

 

Transcript of 74881o

Page 1: 74881o

Critical Dimension Uniformity using Reticle Inspection Tool bMark Wylie, bTrent Hutchinson, bGang Pan, bThomas Vavul, bJohn Miller, bAditya Dayal,

bCarl Hess aMike Green, aShad Hedges, aDan Chalom, aMaciej Rudzinski, aCraig Wood, aJeff McMurran

a Photronics nanoFab North America, Boise, ID, 83716 b KLA-Tencor Corporation, San Jose, CA, 95134

The Critical Dimension Uniformity (CDU) specification on photomasks continues to decrease with each successive node. The ITRS roadmap for optical masks indicates that the CDU (3 sigma) for dense lines on binary or attenuated phase shift mask is 3.4nm for the 45nm half-pitch (45HP) node and will decrease to 2.4nm for the 32HP node. The current capability of leading-edge mask shop patterning processes results in CDU variation across the photomask of a similar magnitude.

Hence, we are entering a phase where the mask CDU specification is approaching the limit of the capability of the current Process of Record (POR). Mask shops have started exploring more active mechanisms to improve the CDU capability of the mask process. A typical application is feeding back the CDU data to adjust the mask writer dose to compensate for non-uniformity in the CDs, resulting in improved quality of subsequent masks. Mask makers are currently using the CD-SEM tool for this application. While the resolution of SEM data ensures its position as the industry standard and continued requirement to establish the photomask CD Mean to Target value, a dense measurement of CDs across the reticle with minimal cycle time impact would have value.

In this paper, we describe the basic theory and application of a new, reticle inspection intensity-based CDU approach that has the advantage of dense sampling over larger areas on the mask. The TeraScanHR high NA reticle inspection system is used in this study; it can scan the entire reticle at relatively high throughput, and is ideally suited for collectingdense CDU data. We describe results obtained on advanced memory masks and discuss applications of CDU maps for optimizing the mask manufacturing process. A reticle inspection map of CDU is complementary to CD-SEM data. The dense data set has value for various applications, including feedback to mask writer and engineering analysis within the mask shop.

1 Introduction

CD control, specifically CDU and Mean to Target (MTT) values, are critical specifications for a photomask. Non-uniformity in reticle CDs and deviation from target CD cause significant yield loss during chip manufacturing, as well as reducing the optimal process window for advanced semiconductor manufacturing.

The CDU and MTT information can also be used to improve the mask manufacturing process. Mask writer global exposure dose is adjusted to compensate for MTT shift. The CDU can also be corrected on the latest pattern generator tools using a number of advanced techniques. Currently, SEM CD measurement tools are used to certify the various CD metrics for reticles prior to shipment. The measurement of the CD on a SEM is an absolute measure of CD in the reticle x-y plane that uses a single pattern for the reference. However, measuring enough sites to perform the regression analysis required to correct CDU in a feedback-loop significantly increases time at the CD SEM production step and impacts mask cycle time.

Mask shops would prefer a CDU map which contains dense measurements and covers large areas on the mask. Since the mask inspection tool scans the entire reticle, the inspection system is ideally placed to generate a CDU map. This dense map can also be utilized to detect localized CD errors or hot spots that may be missed by SEM measurement due to the granular nature of the CD SEM measurement process. The output of the map can be used to optimize the mask

Photomask Technology 2009, edited by Larry S. Zurbrick, M. Warren Montgomery, Proc. of SPIE Vol. 7488, 74881O · © 2009 SPIE · CCC code: 0277-786X/09/$18 · doi: 10.1117/12.830148

Proc. of SPIE Vol. 7488 74881O-1

Downloaded from SPIE Digital Library on 18 Nov 2009 to 192.146.1.254. Terms of Use: http://spiedl.org/terms

Page 2: 74881o

shop’s process by utilizing higher order corrections to the mask writer or identifying issues with develop and/or etch processes.

The newly-developed iCDU algorithm on the TeraScanHR measures the relative variation in the MoSi fill factor over a reticle that is populated with a consistent pattern. Reflected intensity information is collected during a normal Die-Die inspection in 1000 x 2000 pixel blocks, called “super pixels” (figure 1). The data is automatically analyzed for repeating geometry. When there is no repeating pattern, reflectivity measurements are dropped from the iCDU uniformity plot. Manipulation of raw data is performed in the TeraScan review software. Due to the size of the super pixel a large number of features are considered in the calculation. Depending on the mask layout a patch may contain thousands of features., This large area coverage greatly reduces the influence of any random error on the measurement compared to a single point for the CD SEM, enabling global CD trends on the mask to be easily identified.

Figure 1 Spatial comparison of measurement techniques.

2. EXPERIMENTAL OVERVIEW

A number of masks were used to evaluate the capability of the new iCDU algorithm on a TeraScanHR, including repeating memory patterns and standard line monitor test plates using straight-forward line and space patterns. The evaluation looked at a number of use cases including but not limited to:

2.1 Correlation to CD SEM The current POR within the mask shop is to use the CD SEM for both the measurement of MTT and the calculation of the mask CDU. This limited data sample can be used to feedback to the writer to correct CD targeting and as a high order correction to the local dose mapping on the writer to correct CDU. As such it is important that the iCDU output correlates to the current POR.

Proc. of SPIE Vol. 7488 74881O-2

Downloaded from SPIE Digital Library on 18 Nov 2009 to 192.146.1.254. Terms of Use: http://spiedl.org/terms

Page 3: 74881o

2.2 Feedback to e-Beam Writer One powerful tool available to most mask manufacturers is positional dose correction (PDC) feedback to the write tool in order to remove any systematic CD uniformity signature. The current POR for characterizing the signature is to perform a fine grid of CD measurements across the reticle field. The CD results are mathematically regressed to generate an equation representing the error versus the coordinate system. This process, though necessary, can be time consuming, impacting metrology capacity as well as product cycle time. An experiment was conducted to determine the viability of using the output uniformity map created from iCDU to create the PDC equation.

2.3 Process Monitor As iCDU works concurrently with the die-to-die patterned mask inspection, it is valuable to generate dense CD Uniformity plots to monitor the in-line process and use this data to further drive process improvement and optimize mask manufacturing methodologies. Such applications could include monitoring etch chambers, resist coat, bake and develop applications, as well as potential e-beam pattern generator excursions.

3. RESULTS AND DISCUSION

3.1 Correlation to CD SEM.

The TeraScan review software contains the ability to import a reference CD SEM file provided by the mask manufacturer.

Figure 2 Comparison of the spatial signature between iCDU and CD SEM with the resulting XY correlation

A correlation can then be established between the CD SEM data and the resultant iCDU map. As depicted in Figure 2, the iCDU map exhibits a very good spatial correlation to the imported CD SEM data plot. The resultant point by point correlation shows a relatively good fit. However, where the range of CD error is very low, R2 is not a good metric for the point to point correlation as signal to noise ratio is significantly reduced and the resultant data emanates from mostly residual factors such as system noise and other extraneous variables. As the range increases then the correlation and R2

factor become more relevant and in such cases iCDU demonstrates a very good linear fit to the measured CD SEM values.

Figure 3 shows the resultant correlation of iCDU to reference measurements from the CD SEM. The data is generated from a stacked analysis of three copies of the same mask; two of the copies have artificially induced CD Uniformity errors. As can be seen from the correlation study a 10% change in CD as measured by the SEM correlates to a 7.4% change in grey scale value as observed by iCDU. This factor will vary with the measured feature and the ratio of MoSi/Quartz. The resultant R2 score for this correlation study was 91%.

Proc. of SPIE Vol. 7488 74881O-3

Downloaded from SPIE Digital Library on 18 Nov 2009 to 192.146.1.254. Terms of Use: http://spiedl.org/terms

Page 4: 74881o

Figure 3 Correlation Study iCDU to CD SEM .

3.2 Feedback to writer.The experiment flow outlined in figure 4 was conducted to determine the viability of using the output uniformity map created from iCDU on the TeraScanHR to create the PDC equation. This additional data set can be seen as complementary to the current plan of record as it provides a dense grid that may enable a smoother best fit to be established and thus an improved correction scheme.

Figure 4 Process flow and Feedback mechanisms.

The iCDU Review software allows the user to select the desired output grid so as to match the requirements of the target toolset. In this case a 1mm grid was generated and used. This resultant data file is then exported as a de-limited text file which is then imported into the analysis script. A standard process monitor line-space pattern was used. In the first instance the mask was written with no PDC correction file being applied during the write step. The mask was then inspected using iCDU and the resultant data file was used to generate a feedback correction file.

Proc. of SPIE Vol. 7488 74881O-4

Downloaded from SPIE Digital Library on 18 Nov 2009 to 192.146.1.254. Terms of Use: http://spiedl.org/terms

Page 5: 74881o

Figure 5 Pre Correction iCDU output data analyzed using a 4th order polynomial fit. And the resultant CD Histogram

The resultant data was analyzed using a 4th order polynomial fit and then regressed to generate an equation representing the error versus the mask writer coordinate system. Writing a mask with this correction file based on the iCDU generated file resulted in the following mask data.

Figure 6 Post writer PDC iCDU output data analyzed using a 4th order polynomial fit and the resultant CD Histogram

The corrected reticle demonstrates a significant improvement over the uncorrected mask. Sigma is reduced from 0.78 % to 0.47% and the overall distribution of the CDs is tightly distributed around the desired 0% error. This performance is in

Proc. of SPIE Vol. 7488 74881O-5

Downloaded from SPIE Digital Library on 18 Nov 2009 to 192.146.1.254. Terms of Use: http://spiedl.org/terms

Page 6: 74881o

line with the expected improvement that may be achieved from the current POR of CD SEM measurements on a dense grid whilst having the advantage of being generated concurrently with defect inspection data. The iCDU approach thus represents a viable alternative to the CD SEM for PDC feedback.

3.3 In-line process monitor

iCDU can effectively be used as an in-line process monitor. Since it runs concurrently with the defect inspection, the mask manufacturer can generate both defect data and a dense CDU map in a single mask manufacturing step. Other potential use-cases can be to qualify etch chambers or other process tools after either routine maintenance or unscheduled process excursions. For example, if a dense line-space pattern is manufactured, the complete process could be qualified for defect rate, contamination count and process uniformity in one inspection.

The high sampling rate of iCDU enables the user to identify sources of process variation such as resist striations, develop process variation, hot plate temperature uniformity and/or etch uniformity issues. This can be useful for advanced process development and extended production monitoring. Such issues will rarely be observed by measurement on the CD SEM alone due to the sparse nature of the measurements.

One type of process-induced variation that can occur that cannot be detected in an x-y plane measurement is phase-shift in the photomask. Gross process-induced phase change is difficult to measure in-line, and virtually indiscernible during the normal inspection and metrology sequence. A study was conducted using a test mask incorporating several processed regions with varying phase angles. Using reflected light, iCDU was effective at detecting the regions of phase change as prescribed on the mask, and showed good agreement with the CD plot as measured on wafer (figure 8).

Figure 8 iCDU plot compared to Wafer CD plot in variable phase-angle test reticle.

Proc. of SPIE Vol. 7488 74881O-6

Downloaded from SPIE Digital Library on 18 Nov 2009 to 192.146.1.254. Terms of Use: http://spiedl.org/terms

Page 7: 74881o

3.4 Mask Re-Qualification and Inline Reticle Monitoring.

iCDU can also be a useful tool for periodic re-qualification or inline monitoring of reticles in production and to help manufacturers avoid unnecessary pellicle replacement. Prolonged exposure to 193nm radiation can cause changes to the reticle surface, and exposure conditions can be correlated to surface/CD uniformity via iCDU mapping. Dose increases on mask and changes in wafer properties can be characterized through a simple inspection, to provide more accurate lifetime data for reticle exposure. An in depth study of inline monitoring and mask requalification via iCDU inspection is underway, and will be reported in a future technical publication

4. CONCLUSION

The iCDU algorithm on the TeraScanHR has been successfully used to inspect and generate CDU uniformity plots for a large and varied number of high end memory critical mask layers. The feature has been used to successfully generate feedback correction files to the e-beam pattern generator tool. iCDU has demonstrated further capability in engineering review and process monitoring in the mask shop to ensure that potential process excursions are captured and addressed in an expedient manner.

5. ACKNOWLEDGEMENTS

Additional contributions by personnel from Photronics and KLA-Tencor are acknowledged and appreciated.

6. REFERENCES

[1] International Technology Roadmap for Semiconductors, Lithography (2008)

[2] Chen, C.J., et al., “Global CD Uniformity Improvement Using Dose Modulation and Pattern Correction of Pattern Density-Dependent and Position-Dependent Errors,” Proc. SPIE 5446, (2004)

[3] Dayal, A., et al., “Results from the KLA-Tencor TeraScanXR reticle inspection tool,” Proc. SPIE 7122, (2008)

[4] KLA-Tencor LMW9045 - Advanced Mask CD SEM Metrology System Product Specification

[5] Yongkyoo Choi., et al., ”The study to enhance the mask global CD uniformity by removing local CD variation,”

Proc. SPIE 6518, 65183E (2007)

Proc. of SPIE Vol. 7488 74881O-7

Downloaded from SPIE Digital Library on 18 Nov 2009 to 192.146.1.254. Terms of Use: http://spiedl.org/terms