3D Wafer Scale Integration: A Scaling Path to an ... · • 3D Wafer scale integration offers a...

22
3D Wafer Scale Integration: A Scaling Path to an Intelligent Machine Work supported by Defense Advanced Research Projects Agency under grant N66001-15-C-4034 Arvind Kumar, IBM Thomas J. Watson Research Center Zhe Wan, UCLA Elec. Eng. Dept. Winfried W. Wilcke, IBM Almaden Research Center Subramanian S. Iyer, UCLA Elec. Eng. Dept. Neuro-Inspired Computational Elements Workshop Berkeley, CA March 9, 2016

Transcript of 3D Wafer Scale Integration: A Scaling Path to an ... · • 3D Wafer scale integration offers a...

Page 1: 3D Wafer Scale Integration: A Scaling Path to an ... · • 3D Wafer scale integration offers a potential scaling path to an intelligent machine up to primate-level neuron count and

3D Wafer Scale Integration:

A Scaling Path to an Intelligent Machine

Work supported by Defense Advanced Research Projects Agency

under grant N66001-15-C-4034

Arvind Kumar, IBM Thomas J. Watson Research Center

Zhe Wan, UCLA Elec. Eng. Dept.

Winfried W. Wilcke, IBM Almaden Research Center

Subramanian S. Iyer, UCLA Elec. Eng. Dept.

Neuro-Inspired Computational Elements Workshop

Berkeley, CA

March 9, 2016

Page 2: 3D Wafer Scale Integration: A Scaling Path to an ... · • 3D Wafer scale integration offers a potential scaling path to an intelligent machine up to primate-level neuron count and

Intelligent Machines

� Intelligent Machines: Cognitive systems which learn continuously and often without supervision, are universal, predict patterns, sequences and detect anomalies. May perform motor actions to achieve goals (eg robots).

� In Machine Intelligence, learning is due to formation of new synapses (plastic topology) (in contrast to Machine Learning: changing weights of existing synapses).

Minerbi et al., PLoS Biol., 2009

After

Learning

Early

� Design of Intelligent Machines will require focus on massive interconnectivity requirements

to model a changing (plastic) network topology.

2

Plastic Interconnectivity Network

Page 3: 3D Wafer Scale Integration: A Scaling Path to an ... · • 3D Wafer scale integration offers a potential scaling path to an intelligent machine up to primate-level neuron count and

Synapses and Sequence Memory

• Huge number of temporal patterns in sensory stimuli and motor sequences

• Needed for accurate and robust recognition, even with large amounts of noise

and pattern variation

OUTPUTS:

1) Make

forecasts

2) Recognize

anomalies

3) Control

Actuators

Feedback

Why Neurons Have Thousands of Synapses, A Theory of Sequence Memory in Neocortex (Hawkins and Ahmad, 2015)

INPUTS

CORTICAL

LEARNING

ENGINE

3

Page 4: 3D Wafer Scale Integration: A Scaling Path to an ... · • 3D Wafer scale integration offers a potential scaling path to an intelligent machine up to primate-level neuron count and

Nature vs. VLSI

Fanout ~4

Fanout ~104

Katayama et al., 2014

Large “connectome gap” between VLSI and biological systems

FET: Connects with ~4 other FETs

Neuron: Connects with ~103-104 other neurons

Resource virtualization becomes essential in VLSI (Zaveri & Hammerstrom, 2011)

syn

ap

ses

or

inte

rco

nn

ec

ts

neurons or gates

4

Page 5: 3D Wafer Scale Integration: A Scaling Path to an ... · • 3D Wafer scale integration offers a potential scaling path to an intelligent machine up to primate-level neuron count and

Requirements for VLSI Implementation

– Memory: Synaptic Connection addresses + data can be stored in lookup

table.

Human scale: ~10-100 TB

– Distributed processing: A large number (1000s) of simple processors in

parallel, each able to carry out specific tasks (may be flexible).

– Flexibility: Neural algorithms are still rapidly developing, system needs to

be able to accommodate many algorithms.

– Communication: Very high communications bandwidth between

processors: ~10-100 Gb/s per processor.

– Power: Logic, memory, and communication must be managed within

reasonable power budget.

5

Page 6: 3D Wafer Scale Integration: A Scaling Path to an ... · • 3D Wafer scale integration offers a potential scaling path to an intelligent machine up to primate-level neuron count and

3D Wafer Scale Integration

- Supports dense inter strata connectivity

- Fault tolerance and repair techniques are

central to design

Wafer Scale Integration:

Use an entire Si wafer to build a

large-scale system on a wafer

3D Wafer-to-Wafer Stacking:

Use wafer bonding techniques and

TSVs (Through Stratum Vias) to

connect multiple wafers in a stacked configuration

6

Page 7: 3D Wafer Scale Integration: A Scaling Path to an ... · • 3D Wafer scale integration offers a potential scaling path to an intelligent machine up to primate-level neuron count and

3D Wafer-to-Wafer Stacking

Handle Wafer

Si T1

Si

Si

Si

S3

Q3

Q2T2

Q4

S4

R2

R1

R3

R4

S1

S2

Stratum #1

Stratum #2

Stratum #3

Stratum #4

IBM Albany Nanotech Center

4-strata 300mm wafer stacks demonstrated

• wafers thinned to 5µm

• 0.25-1µm features

inter- and intra-strata Cu TSVs

7

Page 8: 3D Wafer Scale Integration: A Scaling Path to an ... · • 3D Wafer scale integration offers a potential scaling path to an intelligent machine up to primate-level neuron count and

Wafer Scale Stacking is Well-Suited for Neuromorphic Computing

� Initially pointed out by Carver Mead (1990)

� What 3D wafer scale integration buys us:

– Lots of memory we can put on a wafer, not a chip

– Processor and memory linked through a high-bandwidth

connection (opening cpu-memory bottleneck)

– Very high connectivity between processors (message passing)

8

Page 9: 3D Wafer Scale Integration: A Scaling Path to an ... · • 3D Wafer scale integration offers a potential scaling path to an intelligent machine up to primate-level neuron count and

Fault-tolerance: Resilience of Cortical Algorithms

The resilience of cortical algorithms makes

wafer-scale yield problems much less of a concern.

HTM-like simulation

(courtesy: Janus Marecki)

9

Page 10: 3D Wafer Scale Integration: A Scaling Path to an ... · • 3D Wafer scale integration offers a potential scaling path to an intelligent machine up to primate-level neuron count and

Outline of Study

� Formulation of Connectivity Model

� Short-range connectivity

� Long-range connectivity

� Scaling Study

� Base

� Primate

� Human

� Metrics

� Bandwidth

� Latency

� Power

Goal: Paper study to assess feasibility of 3D Wafer Scale Integration

with digital CMOS

for scaling up to large numbers of neuron count and connectivity

10

Page 11: 3D Wafer Scale Integration: A Scaling Path to an ... · • 3D Wafer scale integration offers a potential scaling path to an intelligent machine up to primate-level neuron count and

Local and Global Connectivity

• Segregation into local (‘gray matter’) and global (‘white matter’)

to achieve high interconnectivity and short conduction delays

(Wen and Chlovskii, PLOS Comp. Bio., 2005)

• Use the connectivity information to estimate the level of

networking needed for brain functionality

11

Page 12: 3D Wafer Scale Integration: A Scaling Path to an ... · • 3D Wafer scale integration offers a potential scaling path to an intelligent machine up to primate-level neuron count and

Connectivity Model

Break down brain connectivity into

• ‘Grey matter’: Local connectivity within region (dense)

• ‘White matter’: Global connectivity between regions (sparse)

12

Page 13: 3D Wafer Scale Integration: A Scaling Path to an ... · • 3D Wafer scale integration offers a potential scaling path to an intelligent machine up to primate-level neuron count and

‘Grey matter’: Local Connectivity

1.00E-101.00E-091.00E-081.00E-071.00E-061.00E-051.00E-041.00E-031.00E-021.00E-01

1.00E+00

0 1000 2000 3000

Connection probability vs.

distance (um)

Connection probability between 2 neurons decays with a

characteristic length lloc (typically 100’s of um)

Gaussian fit

B.Hellwig, Biol. Cybernetics, 2000

13

Page 14: 3D Wafer Scale Integration: A Scaling Path to an ... · • 3D Wafer scale integration offers a potential scaling path to an intelligent machine up to primate-level neuron count and

‘White matter’: Global Connectivity

Watts & Strogatz, Nature, 1998

1

0.8

0.6

0.4

0.2

0

regularsmall-world

random

C(p): Clustering coeff =

‘cliquishness’ of network

L(p): Path length coeff =

average number of hops

between vertices

p: Rewiring probability

• Small-world topology is an attractive model for brain functional networks

• Supports both segregated and distributed informational processing

• Observed in numerous brain connectivity studies

(cat, macaque, human)

Bassett & Bullmore, The Neuroscientist, 2006

C(p

)/C

(0)

or

L(p

)/L(

0)

14

Page 15: 3D Wafer Scale Integration: A Scaling Path to an ... · • 3D Wafer scale integration offers a potential scaling path to an intelligent machine up to primate-level neuron count and

handle wafer

Memory WaferLogic Wafer:

1000s of nodes

+ routing

Each node controls its own memory domain

Scaling Study: 3D Waferscale Cortical System

dense horizontalconnectivity using on-wafer wiring

dense verticalconnectivity using TSVs

One node:

a single processor

(may be multi-core)

15

Page 16: 3D Wafer Scale Integration: A Scaling Path to an ... · • 3D Wafer scale integration offers a potential scaling path to an intelligent machine up to primate-level neuron count and

Scaling Study: Assumptions

Memory Wafer• Start with DRAM: 0.2 Gb/mm2

� ~1 TB on 300mm wafer• Partition into 1000 1GB sections

Logic Wafer• Nodes stitched together with ‘local’

and ‘express’ lanes• Each node has its own 1 GB section

local lane

express lane(example)

“hop”

from node

to node

16

Partitioning• Average 1000 synapses per neuron, 30 bits per synaptic connection• 1GB per node � 250k neurons per node • Assume 27 nodes per region � ~7 million neurons per region

Page 17: 3D Wafer Scale Integration: A Scaling Path to an ... · • 3D Wafer scale integration offers a potential scaling path to an intelligent machine up to primate-level neuron count and

Local vs. Global Connections

Short-range (grey) has many more connections, but communications

latency dominated by long-range (white) connectivity

Assumes 90% connections grey,

10% connections white

17

Page 18: 3D Wafer Scale Integration: A Scaling Path to an ... · • 3D Wafer scale integration offers a potential scaling path to an intelligent machine up to primate-level neuron count and

Scaling Study

Case Total

Neurons

Total

Synapses

Total

Nodes

Nodes

per

wafer

Logic

wafers

DRAM

wafers

Regions

1-base 4.5x108 4.5x1011 1728 1728 1 2 64

2-primate 3.6x109 3.6x1012 13814 6912 2 16 512

3-human 2.9x1010 2.9x1013 110592 6912 16 128 4096

Scaling study:

• Three cases, with the number of neurons scaled up by 8x

• Synapses per neuron kept fixed at 1000

• Scaling to human levels would require memory innovation to reduce # of DRAM wafers � not far-fetched!

8x8x

18

Page 19: 3D Wafer Scale Integration: A Scaling Path to an ... · • 3D Wafer scale integration offers a potential scaling path to an intelligent machine up to primate-level neuron count and

Bandwidth

Each node has outgoing bandwidth of wfbus

(w=# wires, fbus =bus frequency)

Assume w=2000, fbus =100MHz � outgoing BW of 100 Gbps

α=activity factor (typically 1%)m=number of neurons per node (250000)s=average number of synapses per neuron (1000)bmsg=# bits per message (header + payload) (200)

19

Page 20: 3D Wafer Scale Integration: A Scaling Path to an ... · • 3D Wafer scale integration offers a potential scaling path to an intelligent machine up to primate-level neuron count and

Latency

� Number of hops greatly reduced by hardwired ‘express lanes’

between processors

� Non-BW limited case: Communications latency depends on the

number of hops

� Rough estimate of worst case: 40 hops x 100ns/hop � few µs

� latency (few µs) hidden behind compute cycle (few ms)

20

Page 21: 3D Wafer Scale Integration: A Scaling Path to an ... · • 3D Wafer scale integration offers a potential scaling path to an intelligent machine up to primate-level neuron count and

Power

• Processor power: typically 10’s of uW/MHz � 0.5 W per node at 1 Ghz

• Memory power: 1GB DRAM at 1% activity factor � 0.1W

• Communication power: parallel communication � ½CwV2 per wire

• Note: If packaged chips-on-board used instead, communication

power would dominate due to MGT SERDES.

21

Page 22: 3D Wafer Scale Integration: A Scaling Path to an ... · • 3D Wafer scale integration offers a potential scaling path to an intelligent machine up to primate-level neuron count and

Summary

Metric 3D Wafer Scale Integration

Bandwidth ~100 Gbps outgoing BW per node

Latency few µs; can be hidden behind compute cycle

Power Estimate <1W/node power including

computation, communication, and memory.

Dominated by computation.

Form Factor Compact, even with I/O, cooling, and power

supply

• 3D Wafer scale integration offers a potential scaling path to an

intelligent machine up to primate-level neuron count and connectivity.

• Scaling to human-level count would require significant memory density

innovation.

• As a development platform for a wafer scale neuromorphic computer,

we are building an FPGA-based major parallel system optimized for

running Machine Intelligence codes.

22