2015 3-IB3B Práctica 06 Mux Demux

36
7-11-2015 MULTIPLEXOR Y DEMULTIPLEXOR Practica no. 6  Universidad autónoma de Aguascalientes  Circuitos lógicos  Tercer Semestre Ingeniería Biomédica, Grupo B  Integrantes de equipo 192117-Ernesto Mercado Limón 148582- José María Angulo Valles 148309-Luis Eduardo Jiménez Díaz  Aguascalientes, Ags.  Ing. José Rafeael Rosas Delgado

Transcript of 2015 3-IB3B Práctica 06 Mux Demux

Page 1: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 1/36

7-11-2015

MULTIPLEXOR Y

DEMULTIPLEXOR

Practica no. 6

  Universidad autónoma de Aguascalientes

  Circuitos lógicos

  Tercer Semestre Ingeniería Biomédica, Grupo B

  Integrantes de equipo

192117-Ernesto Mercado Limón

148582- José María Angulo Valles

148309-Luis Eduardo Jiménez Díaz

  Aguascalientes, Ags.

  Ing. José Rafeael Rosas Delgado

Page 2: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 2/36

I.  Objetivo

a)  Realizar e implementar el diseño de un multiplexor (Mux) y un demultiplexor (DeMux) utilizandocompuertas lógicas.

 b)  2. Realizar y programar el diseño de un multiplexor (Mux) y un demultiplexor (DeMux) utilizandoen un lenguaje HDL.

II. 

Lista de Materiales

Cantidad Concepto Descripción Precio Unitario Total

4 R 1 kΩ  Resistor de 1000 Ω a ½watt

$1.00 $4.00

4 R 330 Ω  Resistor de 330 Ω a ½

watt$1.00 $4.00

4 LED LED $2.00 $8.001 Dip-Swicth Dip-Swicth de 8 $10.00 $10.00

1 Punta Lógica Punta Lógica $20.00 $20.00

1 Protoboard Tablilla deinterconexiones

$80.00 $80.00

60 Cable Dupont Cable Dupont Macho -Macho

$1.00 $60.00

2 CI 74ls04 Compuerta NOT $15.00 $30.00

2 CI 74ls08 Compuerta AND $15.00 $30.00

2 CI 74ls32 Compuerta OR $15.00 $30.00

1 Fuente deVoltaje

Fuente de voltaje decorriente directa (DC)regulada

$50.00 $50.00

1 Generador deSeñales

Generador de Señales ---- ----

1 FPGA CycloneIII

FPGA Cyclone IIIModeloFPGA_EP3C16F484C6N

---- ----

Page 3: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 3/36

III.  Marco teóricoIV.  Multiplexor digital 74ls15

Page 4: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 4/36

 

Page 5: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 5/36

V.  Demultiplexor digital 74ls16

Page 6: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 6/36

 

Page 7: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 7/36

 

VI.  Señal de reloj

Page 8: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 8/36

Los microprocesadores son circuitos digitales altamente complejos, que utilizan una señal de reloj parafuncionar.

En algunos microprocesadores antiguos se utilizaban reloj multifase (por ejemplo, la familia demicroprocesadores IMP-16), pero actualmente la mayoría utiliza un reloj de una única fase.

La señal de reloj puede ser combinada con un controlador de señal que se encarga de permitir o no la señal

de reloj para ciertas partes de un circuito. Esta técnica es especialmente utilizada para ahorrar energía,apagando porciones de un circuito digital que no están en uso.

Muchas microcomputadoras utilizan un multiplicador de reloj, que multiplica una señal de reloj externamás baja que la del microprocesador, para adecuarla a la señal de éste. Esto permite al CPU operar a muchamás alta frecuencia que el resto de los componentes de la computadora, permitiéndole al CPU no esperarun factor externo (por ejemplo, una entrada/salida de memoria).

VII.  Procedimiento

a)  Procedimiento PARTE 1 Multiplexor (Mux)

Page 9: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 9/36

 1.  Realizar la siguiente conexión de un multiplexor 4:1 utilizando solamente compuertas lógica

digitales.  Construir el diagrama:

  Considerar la siguiente conexión en las entradas:

Entrada Valor

I3 Definida por usuario (podrácambiar entre 0 y 1)

I2 0 (fijo)

I1 1 (fijo)

I0 Señal de reloj TTL (0volts a 5volts) calibrada a 1 Hz

  Llenar la tabla de verdad con el comportamiento de la salida Y conforme a la manipulación de lasentradas S1 y S0 (selectores).

S1 S0 Y

0 0 I0

0 1 I1

1 0 I21 1 I3

  Realizar sus observaciones correspondientes y responda a la pregunta, con lo practicado hasta el

momento y con sus propias palabras (de manera individual) ¿qué es un Mux y que aplicaciónencuentra?

Page 10: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 10/36

2.  Realizar la programación en lenguaje VHDL en el Software QUARTUS de un multiplexor de 8 a 4

con solo un bit para selección. Como se muestra en la siguiente caja negra.

  Las entradas y salidas se identificaran como se muestra en la siguiente tabla, la cual se deberá

completar para la asignación de pines.

 

Deberá responder las siguientes pregunta, ¿Qué pasa a la salida de 4 bit’s Y si . . .  el selector se encuentra en 0 y cambia los valores binarios de A ( 4 bit’s)?

  el selector se encuentra en 0 y cambia los valores binarios de B ( 4 bit’s)?

  el selector se encuentra en 1 y cambia los valores binarios de A ( 4 bit’s)?

  el selector se encuentra en 1 y cambia los valores binarios de B ( 4 bit’s)?

  Realizar la siguiente de ayuda para alguna aplicación.

Page 11: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 11/36

  En la salida del multiplexor (Y ), se le realizará la decodificación de Hex a Display de 7 segmentos yse mostrara en un display de la FPGA a elección del alumno.

b) Procedimiento PARTE 2 Demultiplexor (DeMux)

  Realizar la siguiente conexión de un Demultiplexor 1:4 utilizando solamente compuertas lógicadigitales.

  Construir el siguiente diagrama:

 

Considerar la siguiente conexión en las entradas: E

Entrada Valor

E Señal de reloj TTL (0 volts a 5volts) calibrada a 1 Hz

  Llenar la tabla de verdad con el comportamiento de la salida Y conforme a la manipulación de las

entradas S1 y S0 (selectores).

E  S1 S0 Y0 Y1 Y2 Y3

0 0 0 0 0 0 0

1 0 0 1 0 0 0

1 0 1 0 1 0 0

1 1 0 0 0 1 0

1 1 1 0 0 0 1

Page 12: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 12/36

  Realizar sus observaciones correspondientes y responda a la pregunta, con lo practicado hasta elmomento y con sus propias palabras (de manera individual) ¿qué es un DeMux y que aplicaciónencuentra?

  Realizar la programación en lenguaje VHDL en el Software QUARTUS de un Demultiplexor de 4 a 8

con solo un bit para selección. Como se muestra en la siguiente caja negra.

  Las entradas y salidas se identificaran como se muestra en la siguiente tabla, la cual se deberácompletar para la asignación de pines.

 

Deberá responder las siguientes pregunta, ¿Qué pasa a las salidas de 4 bit’s W y Y si ...

  el selector se encuentra en 0 y cambia los valores binarios de A ( 4 bit’s)?

  el selector se encuentra en 1 y cambia los valores binarios de A ( 4 bit’s)?

  Realizar la siguiente de ayuda para alguna aplicación.

  En la salida del  Demultiplexor (W, Y ), se le realizará la decodificación de Hex a Display de 7segmentos y se mostrara de la siguiente manera:

Page 13: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 13/36

  En el display 0 de la FPGA se mostrará el valor de W cuando este seleccionado, de lo contrario deberá permanecer apagado (ningún led encendido).

  En el display 1 de la FPGA se mostrará el valor de Y cuando este seleccionado, de lo contrario deberá permanecer apagado (ningún led encendido).

  Realizar el cambio (0 a 1 y 1 a 0) a una velocidad prudente (sin dañar el interruptor) en el selector y

anotar la observación que considere pertinente.

VIII.  Desarrollo

a) 

conexión de un mul tiplexor 4:1 utilizando solamente compuertas lógica digitales.

S=0 0

S=0 0

Page 14: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 14/36

 

S=1 0

S=1 1

S=0 1

Page 15: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 15/36

 

S=0 0

S=1 1

Señal de reloj ut i l izada=I0

Page 16: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 16/36

b) Programacion en lenguaje VHDL en el software QUARTUS de un Multiplexor

de 8 a 4 con un solo bit para selección

Figure 2 Selector en 1 salida de B letra D Figure 1 Selector en 1 salida de B letra F

Figure 4 Selector en 0 Salida de A Numero 4 Figure 5 Selector en 1 Salida de B Numero 5

Figure 6 Selector en 0 Salida de A Letra dFigure 7 Selector en 1 Salida de B Numero 3

Figure 7 Selector en 1 Salida de B Numero 9Figure 8 Selector en 1 Salida de B Numero 6

Figure 9 Selector en 0 Salida de A Letra AFigure 10 Selector en 0 Salida de A Numero 5

Page 17: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 17/36

 

Figure 11 Selector en 0 Salida de A Letra E Figure 12 Selector en 1 Salida de B Numero 1

Figure 13 Selector en 0 Salida de A Letra C Figure 14 Selector en 0 Salida de A Numero 7

Figure 15 Selector en 0 Salida de A Numero 6 Figure 16 Selector en 1 Salida de B Numero 7

Figure 17 Selector en 0 Salida de A Numero 9 Figure 18 Selector en 0 Salida de A Letra F

Figure 19 Selector en 0 Salida de A Letra bFigure 20 Selector en 1 Salida de A Numero 8

Figure 21 Selector en 0 Salida de A Numero 8 Figure 22 Selector en 1 Salida de A Letra b

Page 18: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 18/36

 

Figure 23 Selector en 1 Salida de A Letra C Figure 24 Selector en 1 Salida de A Letra A

Figure 25 Selector en 1 Salida de A Letra E Figure 26 Selector en 0 Salida de B Numero 1

Figure 27 Selector en 1 Salida de B Numero 4 Figure 28 Selector en 0 Salida de A Numero 3

Figure 29 Selector en 1 Salida de B Numero 2 Figure 30 Selector en 0 Salida de A Numero 2

Page 19: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 19/36

c)  Programación en lenguaje VHDL en el Software QUARTUS de un Demultiplexor

de 4 a 8 con solo un bit para selección .

Figure 8 Selector en 1 Salida A Numero 9

Figure 4 Selector en 1 salida de A letra D Figure 3 Selector en 1 salida de A letra F

Figure 4 Selector en 0 Salida de B Numero 4 Figure 5 Selector en 1 Salida de A Numero 5

Figure 6 Selector en 0 Salida de B Letra dFigure 7 Selector en 1 Salida de A Numero 3

Figure 10 Selector en 1 Salida de A Numero 6

Page 20: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 20/36

 

Figure 11 Selector en 0 Salida de B Letra AFigure 12 Selector en 0 Salida de B numero 5

Figure 13 Selector en 0 Salida de B Letra E Figure 14 Selector en 1 Salida de A numero 1

Figure 15 Selector en 0 Salida de B numero 1Figure 16 Selector en 0 Salida de B numero 2

Figure 17 Selector en 1 Salida de A numero 2 Figure 18 Selector en 0 Salida de B numero 3

Figure 19 Selector en 1 Salida de A numero 4 Figure 20 Selector en 0 Salida de B numero 6

Figure 21 Selector en 0 Salida de B numero 7Figure 22 Selector en 1 Salida de A numero 7

Page 21: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 21/36

 

Figure 23 Selector en 0 Salida de B numero 8 Figure 24 Selector en 1 Salida de A numero 9

Figure 25 Selector en 0 Salida de B numero 9 Figure 26 Selector en 1 Salida de A letra A

Figure 27 Selector en 0 Salida de B letra BFigure 28 Selector en 1 Salida de A letra B

Figure 29 Selector en 1 Salida de A letra C Figure 30 Selector en 0 Salida de B letra C

Figure 30 Selector en 1 Salida de A letra E Figure 31 Selector en 0 Salida de B letra F

Figure 32 Selector en 1 Salida de A letra F

Page 22: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 22/36

¿Qué es un Mux y que aplicación encuentra ?

Ernesto MercadoUn Mux es un circuito combinacional con varias entradas y una salida, son de gran utilidad en el ramo de laelectrónica digital ya que asi puedes controlar la salida de diferentes tipos de datos, prácticamente es unselector de salida de datos, una aplicación que creo que sería el control de datos por la televisión, en controlseria el selector de datos y la pantalla seria la salida.

Luis Eduardo Jiménez DíazEn electrónica, un multiplexor es un dispositivo que recibe múltiples entradas y las reúne para transmitirlas juntas en una única salida. Un ejemplo de aplicación podría ser el uso de cámaras o sistemas de vigilancialos cuales envían su señal a un solo dispositivo ya sea una TV o algún receptor que active la alarma alactivarse el sensor.

José María AnguloSon circuitos combinacionales con un número determinado de entras que serían los canales pero con solo

una salida, tiene un arreglo para que se pueda seleccionar una este sería el selector. Se puede utilizar como

receptor y transmisión de alguna señal, como una antena que sería la emisora de ondas y receptor de ondas.

Código VHDL –  Multiplexor 2da opción 

--Mux 2

--Declaracion de librerias

library ieee;

use ieee.std_logic_1164.all; --Que seccion de la libreria se va a utilizar

--Declaracion de entidades

entity Mux2 is --El nombre del archivo debe coincidir con el nombre de la entidad

port

(

-- Nom-Var : Tipo de dato

A,B : in std_logic_vector (3 downto 0);

S : in std_logic;

Dis1 : out std_logic_vector (6 downto 0);

Y : out std_logic_vector (3 downto 0)

);

end entity;--Finaliza la declaracion de la entidad

Page 23: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 23/36

-- Arquitectura Nombre-Proyecto of Nombre-Archivo is

architecture Mux2 of Mux2 is

--DECLARACION DE TIPO DE VARIABLE POR USUARIO Y VARIABLES DE MANERA DE SE&AL

signal X : std_logic_vector(3 downto 0);

begin --Inicio de arquitectura

--Proceso (Bit/Byte(s) de entrada(s))

process (A,B,S) --Proceso Mux 8 a 4

begin --Inicio del proceso

case(S) is

when '0' => X <= A; -- se usa ' ' cuando es un bit

when '1' => X <= B;

when others => -- se debe agregar uno por defecto

end case;

--Segunda opcion

-- Y(0) <= (A(0) and not S) or (B(0) and S);

-- Y(1) <= (A(1) and not S) or (B(1) and S);

-- Y(2) <= (A(2) and not S) or (B(2) and S);

-- Y(3) <= (A(3) and not S) or (B(3) and S);

end process; --Fin de proceso

Y <= X;

process (X) --Decodificador

begin

case(X) is -- abcdefg

when "0000" => Dis1 <= "0000001"; -- se ussa " " cuando son vectores

when "0001" => Dis1 <= "1001111";

when "0010" => Dis1 <= "0010010";

when "0011" => Dis1 <= "0000110";

when "0100" => Dis1 <= "1001100";

Page 24: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 24/36

  when "0101" => Dis1 <= "0100100";

when "0110" => Dis1 <= "0100000";

when "0111" => Dis1 <= "0001111";

when "1000" => Dis1 <= "0000000";

when "1001" => Dis1 <= "0001100";

when "1010" => Dis1 <= "0001001";

when "1011" => Dis1 <= "1100000";

when "1100" => Dis1 <= "0110001";

when "1101" => Dis1 <= "1000010";

when "1110" => Dis1 <= "0110000";

when "1111" => Dis1 <= "0111000";

when others => Dis1 <= "1111111";

end case;

end process;

end architecture; --Fin de arquitectura

Page 25: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 25/36

 Figure 33: Pin planer Mux 2da opción 

Page 26: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 26/36

Código VHDL –  Multiplexor 1ra opción 

--Multiplexor 8 a 4 con Codificador

--Declaracion de librerias

library ieee;

use ieee.std_logic_1164.all;

--Declaracion de entidades

entity Mux is

port

(

a0,a1,a2,a3,b0,b1,b2,b3,s : in std_logic;

l0,l1,l2,l3 : out std_logic;

a,b,c,d,e,f,g : out std_logic

);

end entity;

-- Arquitectura

architecture Mux of Mux is

--DECLARACION DE TIPO DE VARIABLE POR USUARIO Y VARIABLES DE MANERA DE SE&AL

signal x0,x1,x2,x3 : std_logic;

begin --Inicio de arquitectura

--Proceso (Bit/Byte(s) de entrada(s))

process (a0,a1,a2,a3,b0,b1,b2,b3,s)

begin

x0 <= (b0 and not s) or (a0 and s);

x1 <= (b1 and not s) or (a1 and s);

x2 <= (b2 and not s) or (a2 and s);

Page 27: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 27/36

  x3 <= (b3 and not s) or (a3 and s);

l0 <= x0;

l1 <= x1;

l2 <= x2;

l3 <= x3;

end process;

process (x3,x2,x1,x0) --Declaracion de un proceso

begin --Inicio del proceso

a <= not((x3 and not x2 and not x1) or (not x3 and x2 and x0) or (x3 and not x0) or (x2 and x1) or

(not x3 and x1) or (not x2 and not x0));

b <= not((not x3 and not x2) or (not x2 and not x0) or (not x3 and not x1 and not x0) or (x0 and (x3

xor x1)));

c <= not((not x3 and not x1) or (not x3 and x0) or (not x1 and x0) or (x3 xor x2));

d <= not((not x3 and not x2 and not x0) or (not x2 and x1 and x0) or (x2 and (x1 xor x0)) or (x3 and

not x1 and not x0));

e <= not((not x0 and (not x2 or x1)) or (x3 and (x1 or x2)));

f <= not((not x0 and (not x1 or x2)) or (x3 and (not x2 or x1)) or (not x3 and x2 and not x1));

g <= not((x1 and (not x2 or not x0)) or (x3 and (not x2 or x0)) or (not x3 and x2 and not x1));

end process;

end architecture;

Page 28: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 28/36

 Figure 34: Pin planer Mux 1ra opción 

Page 29: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 29/36

DEMUX 

--Demultiplexor 4 bits a dos display

--Declaracion de librerias

library ieee;

use ieee.std_logic_1164.all; --Que seccion de la libreria se va a utilizar

--Declaracion de entidades

entity DeMux is --El nombre del archivo debe coincidir con el nombre de la entidad

port

(

-- Nom-Var : Tipo de dato

A : in std_logic_vector (3 downto 0);

S : in std_logic;

Dis1 : out std_logic_vector (6 downto 0);

Dis2 : out std_logic_vector (6 downto 0);

led : out std_logic_vector (3 downto 0)

);

end entity;--Finaliza la declaracion de la entidad

-- Arquitectura Nombre-Proyecto of Nombre-Archivo is

architecture DeMux of DeMux is

--DECLARACION DE TIPO DE VARIABLE POR USUARIO Y VARIABLES DE MANERA DE SE&AL

signal X : std_logic_vector(3 downto 0);

signal Y : std_logic_vector(3 downto 0);

begin --Inicio de arquitectura

--Proceso (Bit/Byte(s) de entrada(s))

Page 30: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 30/36

  process (A,S) --Proceso DeMux 8 a 4

begin --Inicio del proceso

case(S) is

when '0' => X <= A; Y<="0000";-- se usa ' ' cuando es un bit

when '1' => Y <= A; X<="0000";

when others => -- se debe agregar uno por defecto

end case;

led <= A;

end process; --Fin de proceso

process (X,Y) --Decodificador

begin

case(X) is

-- 6543210

-- abcdefg

--when "0000" => Dis1 <= "0000001"; -- se ussa " " cuando son vectores

when "0001" => Dis1 <= "1001111";

when "0010" => Dis1 <= "0010010";

when "0011" => Dis1 <= "0000110";

when "0100" => Dis1 <= "1001100";

when "0101" => Dis1 <= "0100100";

when "0110" => Dis1 <= "0100000";

when "0111" => Dis1 <= "0001111";

when "1000" => Dis1 <= "0000000";

when "1001" => Dis1 <= "0001100";

when "1010" => Dis1 <= "0001000";

when "1011" => Dis1 <= "1100000";

when "1100" => Dis1 <= "0110001";

when "1101" => Dis1 <= "1000010";

Page 31: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 31/36

  when "1110" => Dis1 <= "0110000";

when "1111" => Dis1 <= "0111000";

when others => Dis1 <= "1111111";

end case;

case(Y) is -- abcdefg

--when "0000" => Dis2 <= "0000001";-- se ussa " " cuando son vectores

when "0001" => Dis2 <= "1001111";

when "0010" => Dis2 <= "0010010";

when "0011" => Dis2 <= "0000110";

when "0100" => Dis2 <= "1001100";

when "0101" => Dis2 <= "0100100";

when "0110" => Dis2 <= "0100000";

when "0111" => Dis2 <= "0001111";

when "1000" => Dis2 <= "0000000";

when "1001" => Dis2 <= "0001100";

when "1010" => Dis2 <= "0001000";

when "1011" => Dis2 <= "1100000";

when "1100" => Dis2 <= "0110001";

when "1101" => Dis2 <= "1000010";

when "1110" => Dis2 <= "0110000";

when "1111" => Dis2 <= "0111000";

when others => Dis2 <= "1111111";

end case;

end process;

end architecture; --Fin de arquitectura

Page 32: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 32/36

 

Figure 35: Pin planer DeMux

Page 33: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 33/36

 

¿Qué pasa a la salida de 4 bit’s Y si . . .

  el selector se encuentra en 0 y cambia los valores binarios de A ( 4 bit’s)?

En los leds apreciamos el cambio que se realizo en los valores binarios de A

  el selector se encuentra en 0 y cambia los valores binarios de B ( 4 bit’s)?

 No se aprecia ningún cambio en los leds

  el selector se encuentra en 1 y cambia los valores binarios de A ( 4 bit’s)?

 No se aprecia ningún cambio en los leds

  el selector se encuentra en 1 y cambia los valores binarios de B ( 4 bit’s)?

En los leds apreciamos el cambio que se realizo en los valores binarios de B

a)  conexión de un demul tiplexor 4:1 utilizando solamente compuertas lógica

digitales.

y0=0 y1=0 y2=0 y3=0

s0=0 s1=0 E=0

Page 34: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 34/36

 

y0=1 y1=0 y2=0 y3=0

y0=0 y1=1 y2=0 y3=0  

y0=0 y1=0 y2=1 y3=0

y0=0 y1=0 y2=0 y3=1

s0=0 s1=0 E=1

s0=1 s1=0 E=1

s0=0 s1=1 E=1

s0=1 s1=1 E=1

Page 35: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 35/36

 

IX.  Observaciones

  Las salidas son totalmente controladas por los selectores

 

Al asignar valores en el código VHDL se tiene que tomar en cuenta si se está usando en el valor asignado unvector de ambas maneras ya que con esto en programa no funciona, tienen que trabajarse ambos en forma

de vector, de otra forma se le tiene que asignar la función a cada término del vector.

  Los vectores simplifican distintos números de funciones que pertenecen a una sola A(A3,A2,A1,A0).

  El selector permite tener distintos números de salidas o entradas.

  Cuando necesitas sacar las funciones tal y como entran no es necesario usar los Mux o Demux, la salida es

directa.

  Cada que se tiene una caja negra con distintas funciones por dentro se tienen que realizar distintos

“process” en el código VHDL. 

  Hay entradas que funcionaran como señales, que serán el resultado cofidicado o decodificado de las

entradas principales, a estas se les tiene que declarar como “signal” en el código VHDL.   En el código VHDL al poner un vector y utilizar código de la segunda forma tenemos que sacrificar un Bit

VII.  Conclusión 

Ernesto Mercado Limón

Con estos conocimientos ya podemos imaginar cómo es controlado un poco más el mundo de la electrónica

digital, sin duda los “Mux” y “Demux” son de vital importancia, mucha efectividad al momento de controlar

los datos, y gran campo al tener varias entradas o varias salidas y viceversa, la última parte donde utilizamos

el Demux en la aplicación con los display y controlarlo rápidamente para observar cómo era el cambio fuemuy interesante ya que el profesor nos explicó cómo era la función que desempeñaban los eléctricos al tratar

de controlar 1 dato con diferentes salidas, por último en las aplicaciones podemos mencionar distintos

desarrollos que se emplean de una forma similar como lo hacen los Mux y Demux, un ejemplo que creo sería

muy cotidiano seria los routers que una señal la pueden transmitir en varias salidas y así tener usuarios

recibiendo los mismos datos y viceversa.

Luis Eduardo Jiménez Díaz

El uso tanto del mux como del demux nos permite generar circuitos combinacionales, además de que existen

circuitos integrados que ya nos dan el funcionamiento delmultiplexor y demultiplexor, en esta práctica fueron

implementados con compuertas. En el demultiplexor observamos que se debe cambiar la polaridad del led,

 para que nos de datos en la salida, ya que si los colocamos de forma normal solo manda a ceros y no da la

función del demux. La implementación de mux y demux es cotidiana cuando se trabaja con varias entradas

o salidas que a su vez son regidas por algún comando del usuario, poniendo como ejemplo más simple, el

mandar señal a una o varias bocinas de una señal entrante ya sea cd, usb, o auxiliar.

José María Angulo Valles

Page 36: 2015 3-IB3B Práctica 06 Mux Demux

7/24/2019 2015 3-IB3B Práctica 06 Mux Demux

http://slidepdf.com/reader/full/2015-3-ib3b-practica-06-mux-demux 36/36

Se vio como es que funcionan un demultiplexor. Además, vimos cómo es que también el demultiplexor

 puede procesar diferentes señales a base de lo que recibe en la entrada. Este presenta cambios a base de la

información que este reciba en la entrada, así afecta la salida.

En los multiplexores se pudo observar su diseño y funcionamiento el cual permite seleccionar uno de todos

los datos que entran y mostrarlo a la salida, esto lo llevamos a cabo gracias a la combinación de 1 y 0 que

controlan nuestro circuito.

VIII. Bibliografía

http://pdf.datasheetcatalog.net/datasheets/320/337827_DS.pdf  

http://pdf.datasheetcatalog.com/datasheets/120/375459_DS.pdf