2002 Factory Integration Scope Includes Wafer, Chip and Product Manufacturing Wafer Mfg Chip Mfg...

21
2002 Factory Integration Scope Includes Wafer, Chip and Product Manufacturing Wafer Mfg Chip Mfg Product Mfg Distribution The Factory FEOL BEOL Probe/Test Singulation Packaging Test Factory is driven by Cost, Productivity, and Speed : Reduce factory capital and operating costs per function Enable efficient high-volume production with operational models for high and low product mixes and other business strategies Increase factory and equipment reuse, reliability, and overall efficiency Enable rapid process technology shrinks and wafer size changes Faster delivery of new and volume products to the end customer Si Substrate Mfg Reticle Mfg Increasing cost & Cycle time implications

Transcript of 2002 Factory Integration Scope Includes Wafer, Chip and Product Manufacturing Wafer Mfg Chip Mfg...

Page 1: 2002 Factory Integration Scope Includes Wafer, Chip and Product Manufacturing Wafer Mfg Chip Mfg Product Mfg Distribution The Factory FEOL BEOL Probe/Test.

2002 Factory Integration Scope IncludesWafer, Chip and Product Manufacturing

WaferMfg

ChipMfg

ProductMfg

Dis

trib

uti

on

The Factory

• FEOL• BEOL

• Probe/Test• Singulation

• Packaging• Test

Factory is driven by Cost, Productivity, and Speed:Reduce factory capital and operating costs per functionEnable efficient high-volume production with operational models for high and

low product mixes and other business strategies Increase factory and equipment reuse, reliability, and overall efficiencyEnable rapid process technology shrinks and wafer size changesFaster delivery of new and volume products to the end customer

Si SubstrateMfg

ReticleMfg

Increasing cost &Cycle time implications

Page 2: 2002 Factory Integration Scope Includes Wafer, Chip and Product Manufacturing Wafer Mfg Chip Mfg Product Mfg Distribution The Factory FEOL BEOL Probe/Test.

Factory Integration Requirements and Solutions are Expressed through 6 Functional Areas

ProcessEquipment

UI

Material Handling Systems Wafer and Reticle Carriers Automated storage systems Interbay & intrabay transport systems Personnel guided vehicles Internal Software & computers

Production Equipment Process and Metrology equipment Mainframe and process chambers Wafer Handling Robots, Load Ports Internal software & computers

Facilities Cleanroom, Labs, Central Utility Building Facilities Control and Monitoring Systems Power, Plumbing, HVAC, Utilities, Pipes, UPS Life safety systems, waste treatment

AMHSEqpt

(side view)

DB

DocumentManagement

MES

MCS

Network or Bus

DSSStation

Controllers

APC Scheduling +Dispatching

DB

Factory Information & Control Data and Control systems required to run the factory Decision support Process control Plan, Schedule, Dispatch Computers, databases, software outside equipment

Factory Operations Policies and procedures used to

plan, monitor and control production

Direct factory labor

Test Manufacturing Prober, Handler, and Test

Equipment Manufacturing processes to test

wafers and chips

Page 3: 2002 Factory Integration Scope Includes Wafer, Chip and Product Manufacturing Wafer Mfg Chip Mfg Product Mfg Distribution The Factory FEOL BEOL Probe/Test.

2002 Factory Integration Focus Areas

1. New business requirements driving changes to the factory design Combination of many different industry business models: IDM, Foundry, Joint

Ventures, Collaborations, other Outsourcing, etc

Faster new product delivery to customers [design to receipt]

Integrating the Factory with other parts of the engineering chain (design, reticle mfg…)

2. Implications of 300mm factory sizes reaching 30k-40k wspm on facilities, AMHS, and factory control systems

3. Gaps Factory productivity/Equipment OEE and methods to improve including Equipment Engineering Capabilities (EEC) EEC includes e-diagnostic, fault detection, process control, on-line manuals,

spares management etc.

4. Factory modeling needs and gaps to do design analysis, demand planning, optimization tradeoff analysis, etc.

5. Preparing for more focus in 2003 on Assembly and Test Manufacturing driven by costs & complexities

Page 4: 2002 Factory Integration Scope Includes Wafer, Chip and Product Manufacturing Wafer Mfg Chip Mfg Product Mfg Distribution The Factory FEOL BEOL Probe/Test.

2002 Difficult Challenges

Managing Complexity Quickly and effectively

integrating rapid changes in semiconductor technologies and market conditions

Need to integrate the entire product development process

Factory Optimization Productivity increases are not

keeping pace with needs

Flexibility, Extendibility, Scalability Ability to quickly convert to new

semiconductor technologies while reusing equipment, facilities, and skills

Post Conventional CMOS Manufacturing Uncertainty Inability to predict factory

requirements associated with different manufacturing requirements

450mm Wafer Size Conversion

Timing and manufacturing paradigm for this wafer size conversion

< 65nm after 2007> 65nm through 2007

Page 5: 2002 Factory Integration Scope Includes Wafer, Chip and Product Manufacturing Wafer Mfg Chip Mfg Product Mfg Distribution The Factory FEOL BEOL Probe/Test.

Year of Production 2001 2002 2003 2004 2005 2006 2007 2010 2013 2016

Wafer Diameter 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 450mm 450mm

High Volume / Low Mix Factory Requirements

Factory cycle time per mask layer (non-hot lot) [1,2] (days)

1.4 1.4 1.4 1.3 1.3 1.3 1.2 1.1 1.05 1

Factory cycle time per mask layer (hot lot) [1,2,7] (days)

1 1 1 0.9 0.9 0.9 0.8 0.7 0.65 0.6

Number of lots per carrier (lot) One One One One One One One One One One

Wafer layers/day/head count 55 55 55 61 61 61 67 73 81 89

High Volume / High Mix Factory Requirements

Factory cycle time per mask layer (non-hot lot) [2,3] (days)

1.2 1.2 1.2 1.1 1.1 1.1 1 0.9 0.95 0.8

Factory cycle time per mask layer (hot lot) [2,3,7] (days)

0.75 0.6 0.6 0.55 0.5 0.45 0.4 0.4 0.4 0.35

Number of lots per carrier (lot) Multiple Multiple Multiple Multiple Multiple Multiple Multiple Multiple Multiple

Multiple

Wafer layers/day/head count 37 37 37 41 41 41 45 49 54 60

Common requirements across Both Factory Types

Groundbreaking to first tool move-in (months).

9 9 9 8 8 8 7 6 5.5 5

First tool move-in to first full loop wafer out (months)

4 3.5 3.5 3 3 2.5 2.5 2 1.5 1

Node to Node change-over (weeks)

13 13 13 12 12 12 11 10 9.5 9

Floor space effectiveness 1X 1X 1X 1X 1X 1X 1X 1X 1X

Factory Operations Technical Requirements

• 2003 will propose adding new product cycle time to the metrics (analysis on-going)

- Progress lacking in ability to run multiple lots per carrier

Page 6: 2002 Factory Integration Scope Includes Wafer, Chip and Product Manufacturing Wafer Mfg Chip Mfg Product Mfg Distribution The Factory FEOL BEOL Probe/Test.

Year of Production 2001 2002 2003 2004 2005 2006 2007 2010 2013 2016

Wafer Diameter 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 450mm 450mm

Throughput improvement (run-rate) per year

Base +4% +4% New base

+4% +4% New base

+10 to 12%

+10 to 12%

+10 to 12%

Relative consumables, chemicals, gases, exhaust, emissions, utiliity

<1.0X 200mm

-10% -10% -10% -10% -10%

Bottleneck equipment OEE 75% 78% 80% 82% 84% 87% 88% 90% 91% 92%

Average equipment OEE 55% 58% 60% 63% 65% 67% 70% 72% 74% 75%

Relative maintenance/spares cost <1.0x200mm

<98% <98% <98% <98% <98%

Overall factory non-product wafer usage as a % of production

<16% <15% <14% <13% <12% <11% <11% <10% <9% <9%

% capital equipment reused from previous node

Limited >90% >90% >90% >90% >90% >90% >70% Limited >70%

Wafer edge exclusion 3mm 2mm 2mm 2mm 2mm 2mm 2mm 2mm 2mm 2mm

Production equipment lead time: 

- Order to move-in (Litho) 12 mos 12 mos 12 mos 12 mos 12 mos 12 mos 12 mos 12 mos 12 mos 12 mos

- Order to move-in (other tools) 6 mos 6 mos 6 mos 6 mos 6 mos 6 mos 6 mos 6 mos 6 mos 6 mos

- Setup to full throughput capable 4 wks 4 wks 4 wks 4 wks 4 wks 4 wks 4 wks 4 wks 4 wks 4 wks

Production Equipment Technical Requirements (1 of 2)

• No significant changes to values

- Progress lacking in OEE improvements, NPW reduction

Page 7: 2002 Factory Integration Scope Includes Wafer, Chip and Product Manufacturing Wafer Mfg Chip Mfg Product Mfg Distribution The Factory FEOL BEOL Probe/Test.

Year of Production 2001 2002 2003 2004 2005 2006 2007 2010 2013 2016

Wafer Diameter 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 450mm 450mm

Process/product changeover time (weeks)

4 4 4 3 3 3 2 2 2 2

Production equipment install and qual cost as % of its capital cost

10% 8% 8% 6% 6% 6% 6% 6% 8% 6%

Process equipment availability >85% >88% >90% >92% >94% >95% >95% >95% >95% >95%

Metrology equipment availability >90% 92% 94% >95% 95% >96% >97% >98% >98% >98%

Ability to run different recipes and parameters for each wafer

Partial Yes Yes Yes Yes Yes Yes Yes Yes Yes

Max allowed electrostatic field on wafer and mask surfaces (V/cm)

150 150 100 100 75 75 50 50 25 25

Relative capital cost of production equipment

<1.3x of 200mm

New base

New base

New base

<1.3x of 300 mm

New base

Production Equipment Technical Requirements (2 of 2)

• No significant changes to values

- Progress lacking in OEE improvements, NPW reduction

Page 8: 2002 Factory Integration Scope Includes Wafer, Chip and Product Manufacturing Wafer Mfg Chip Mfg Product Mfg Distribution The Factory FEOL BEOL Probe/Test.

Material Handling Technical Requirements (1 of 2)

• No significant changes to values• AMHS system throughput numbers include both 20k and 40k wspm factories+ Good progress on AMHS single transport hardware system development

Year of Production 2001 2002 2003 2004 2005 2006 2007 2010 2013 2016

Wafer Diameter 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 450mm 450mm

Material handling total capital cost as a % of total capital cost

< 3% < 3% < 3% < 2% < 2% < 2% < 2% < 2% < 3% < 3%

Wafer Transport system capability Separate interbay/ intrabay

Separate interbay/ intrabay

Separate interbay/ intrabay

Some Separate

Some Direct

Direct tool

Direct tool

Direct tool

Direct tool

Direct tool to

tool

Direct tool to

tool

MTTR (minutes) (SEMI E10) 24 20 18 15 15 15 15 12 10 8

Failures per 24-hour day over total system (SEMI E10)

<1 <1 <0.75 <0.5 <0.5 <0.5 <0.5 <0.5 <0.5 <0.3

System throughput [20k wspm Factory]

Interbay transport (moves/hour) 1200 1300 1400 1500 1625 1750 1875

2000 2000 2000 Intrabay transport (moves/hour) 170 180 190 200 1625 1750 1875

System throughput [40k wspm Factory]

 Interbay transport (moves/hour) 2400 2600 2800 3000 3250 3500 3750

4000 4000 4000 Intrabay transport (moves/hour) 170 180 190 200 3250 3500 3750

Page 9: 2002 Factory Integration Scope Includes Wafer, Chip and Product Manufacturing Wafer Mfg Chip Mfg Product Mfg Distribution The Factory FEOL BEOL Probe/Test.

Year of Production 2001 2002 2003 2004 2005 2006 2007 2010 2013 2016

Wafer Diameter 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 450mm 450mm

Stocker cycle time (seconds) 15 15 14 12 12 10 10 8 10 10

Average factory wide carrier delivery time (in minutes)

10 10 10 8 5 5 5 5 5 5

Maximum factory wide carrier delivery time (in minutes)

20 20 20 15 15 15 15 12 10 8

Stocker storage density (% Total WIP carrier volume / Total stocker volume)

* Small stocker (%) > 25 >30 >30 >40 >40 >40 >50 >50 >50 >50

* Nominal stocker (%) >30 >35 >35 >45 >50 >50 >60 >60 >60 >60

Material handling equipment lead time (weeks)

<16 <14 <12 <11 <10 <9 <8 <8 <8 <8

Material handling equipment installation time (weeks)

<8 <8 <7 <7 <6 <5 <4 <4 <4 <4

System downtime required to extend system capacity when previously planned (minutes)

<180 <90 <90 <60 <30 <30 <15 30 30 30

Material Handling Technical Requirements (2 of 2)

• No significant changes to values• AMHS system throughput numbers include both 20k and 40k wspm factories+ Good progress on AMHS single transport hardware system development

Page 10: 2002 Factory Integration Scope Includes Wafer, Chip and Product Manufacturing Wafer Mfg Chip Mfg Product Mfg Distribution The Factory FEOL BEOL Probe/Test.

Year of Production 2001 2002 2003 2004 2005 2006 2007 2010 2013 2016

Wafer Diameter 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 450mm 450mm

Availability of mission critical system (%)

99.97% 99.97% 99.97% 99.98% 99.98% 99.98% 99.99% 99.99% 99.99% 99.99%

Mean Time to Recover for mission critical applications (minutes)

<30 <30 <30 <30 <30 <30 <15 15 10 5

Availability of the total factory system (%)

99.80% 99.80% 99.80% 99.90% 99.90% 99.90% 99.95% 99.98% 99.99% 99.99%

Peak number of AMHS transport moves supported by material control system (moves/hr)

8,000 8200 8400 8600 8850 9150 9450 9700 9700 9700

% Factory information and control systems reusable for next node

>93% >93% >93% >93% >93% >93% >93% >80% >80% >80%

Time to create FICS industry standard (months)

<12 <12 <6 <6 <6 <6 <6 <6 <6 4

Lead time for solutions to conform to standards

>18 <9 <9 <6 <6 <6 <6 <4 <4 <4

FICS cost including integration as a % of capital

<2% <2% <2% <2% <2% <2% <2% <2% <2% <2%

Ability to run different recipes/parameters for each wafer

Partial Yes Yes Yes Yes Yes Yes Yes Yes Yes

Factory Info & Control Technical Requirements

? Need to assess software systems (scheduling, dispatching, etc) readiness for single transport system

- Lead time to create and conform to standards needs additional progress

Page 11: 2002 Factory Integration Scope Includes Wafer, Chip and Product Manufacturing Wafer Mfg Chip Mfg Product Mfg Distribution The Factory FEOL BEOL Probe/Test.

Year of Production 2001 2002 2003 2004 2005 2006 2007 2010 2013 2016

Wafer Diameter 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 450mm 450mm

Cleanroom area as a % of total site building area

17% 17% 17% 17% 17% 17% 17% 17% 17% 17%

Mfg (Cleanroom) area/Wafer starts per month (m2/WSPM)

0.34 0.34 0.34 0.34 0.34 0.34 0.34 0.34 0.34 0.34

Classification of air cleanliness in the manufacturing (cleanroom) area

ISO Class 5

ISO Class 5

ISO Class 5

ISO Class 6

ISO Class 6

ISO Class 6

ISO Class 6

ISO Class 7

ISO Class 8

ISO Class 9

Power utilization (demand/installed) 80% 70% 80%

Gas and chemical purity Discussed in Yield Enhancement Chapter

Power and water consumption Discussed in EHS chapter and Process Equipment sections

Factory construction time (months) from ground break to all facility ready

12 12 12 10 10 10 10 10 10 10

Facility capital cost as a % of total factory cost (includes equipment)

15% 15% 15% 15% 15% 15% 15% 15% 15% 15%

Production equipment install and qual cost as a % of capital cost

10% 8% 8% 6% 6% 6% 6% 6% 8% 6%

Facility operating cost including utilities as a % of total operating cost

13% 13% 13% 13% 13% 13% 13% 13% 13% 13%

Utility cost per total factory operating cost (%)

3% 3% 3% 3% 3% 3% 3% 3% 3% 3%

Maximum allowable electrostatic field on facility surfaces (V/cm)

150 150 100 100 75 75 50 50 25 25

Facilities Technical Requirements

• No significant changes to values

- Facilities momentum needed to reduce cycle time

Page 12: 2002 Factory Integration Scope Includes Wafer, Chip and Product Manufacturing Wafer Mfg Chip Mfg Product Mfg Distribution The Factory FEOL BEOL Probe/Test.

Key Gaps: 2003 Focus areas for Factory Integration

Technology Gaps that Need Attention Today Integrated intrabay readiness for 300mm Factories Ability to run different process parameters for each wafer Production equipment OEE NPW Reduction Hot Lot and normal cycle times for high mix factories Faster Product delivery Efficient Product development Better modeling capabilities

Future Technology Gaps and Focus Areas Factory software systems to support Direct Transport AMHS Equipment Engineering Capabilities and Standards Engineering Chain Management Systems Impact of 157nm and Next Generation Litho on the Factory Post Conventional CMOS Manufacturing 450mm Wafer Processing

Page 13: 2002 Factory Integration Scope Includes Wafer, Chip and Product Manufacturing Wafer Mfg Chip Mfg Product Mfg Distribution The Factory FEOL BEOL Probe/Test.

Integrated Solutions are Essential to Meet NeedsIntegrated Solutions

Agile Manufacturing- Equipment Engineering

Capabilities

- Single wafer control

Engineering Chain Mgmt

Process Control- FDC, R2R, W2W control

- IM and M2M matching

Material Handling- Direct Transport for Send

Ahead, monitors, hot lots

- Integrated Sorters, Stockers, Metrology?

Flexible Factory Designs- Quick ramp-up operation

- Extend & Scale quickly

- Convert quickly

Integrated Factory

Technology Requirements

New disruptive process technologies

Next Generation Litho

157nm litho

High K gate stack

Low k dielectrics

Copper processing

+ Improved Productivity

Decreased Factory Cycle Time (QTAT)

Improved Equipment Efficiency

Reduction in non-product (I.e. test) wafer usage

More efficient direct labor

Faster factory conversion at technology nodes

Goal = Meet Factory Challenges and

Technology Requirements

Page 14: 2002 Factory Integration Scope Includes Wafer, Chip and Product Manufacturing Wafer Mfg Chip Mfg Product Mfg Distribution The Factory FEOL BEOL Probe/Test.

IDM AgeFoundry/FablessAge

Collaboration Age

Fab

Transactions and Interlinkage will be flexible and open.

MarketingIP

Design

Foundry

IT is a must and Speed is most important

Design

Fab

DesignMarketing

FoundryIP ゙

EP/BP

Marketing

Marketing

Design

Industry Business Model Is Changing

Page 15: 2002 Factory Integration Scope Includes Wafer, Chip and Product Manufacturing Wafer Mfg Chip Mfg Product Mfg Distribution The Factory FEOL BEOL Probe/Test.

Engineering Chain Management Customers want new products delivered faster [design ship] The Engineering Chain integrates the development flow from design specification

to customer delivery for a new product through engineering data exchange Engineering Chain = Design Reticle Process Integration Customer High Volume This is different from supply chain mgmt which focuses on efficient volume production

Engineering chain management ensures customer cycle times are met, while new products are properly integrated with the process

Supply Chain (O2D)

Sales SCP MES

Factory ShippingWO

WIP

Order

Promise

Design

Commerce Data

Engineering Data

Engineering Chain (T2M)

e-Diag

Maintenance

Support

EE Data

EES

APC

Recipe

Eqpt. Configurati

on

Mass Production

Product Development

Process Devmn’t YMSMask

Devmn’t

Eqpt.Devmn’t

Eqpt. Supplier

Page 16: 2002 Factory Integration Scope Includes Wafer, Chip and Product Manufacturing Wafer Mfg Chip Mfg Product Mfg Distribution The Factory FEOL BEOL Probe/Test.

Translating Factory Operations, Production Equipment, and Facilities Metrics to Reality

Metric Potential Solution it is drivingProduction Equipment Overall Equipment Efficiency (OEE)

a) Equipment Engineering Capabilities including: e-Diagnostics, spares management, fault detection, on-line manuals to improve MTTR

b) Advanced Process Control to improve output

c) Integrated factory scheduling and dispatching capabilities to improve equipment utilization

d) Optimized Wafer movement at equipment

Ability to run different process parameters for each wafer on equipment

a) Implement embedded controller standards

b) MES capabilities to handle standard and non-standard operational scenarios

Non-product wafers as a % of factory wafer starts

a) Techniques to design equipment for reliability

b) Advanced Process Control systems

Hot-Lot and regular lot cycle time per mask layer for the factory

a) Direct transport systems integrated with factory schedulers for tool to tool moves

b) Innovative carrier/wafer level control systems

Page 17: 2002 Factory Integration Scope Includes Wafer, Chip and Product Manufacturing Wafer Mfg Chip Mfg Product Mfg Distribution The Factory FEOL BEOL Probe/Test.

Translating Material Handling, FICS, and Test Manufacturing Metrics to Reality

Metric Potential Solution it is driving

Number of transport types in the factory

a) Direct tool transport using conveyors

b) Direct tool transport using overhead hoist

AMHS system throughput for interbay and intrabay

a) Electrical, mechanical, and control systems for transport types: OHS, OHT, RGV, AGV, PGV

b) Improved Scheduling/Dispatching for direct tool transport, hot lots, send ahead wafer, etc.

Time to create industry standards

a) Monthly or Continuous voting cycles to approve

b) Use Internet for balloting/approval

c) Dedicated resources for development

Lead time for solutions to conform with standards

a) Develop standards and applications in parallel

b) Automated test tools for compliance verification

Groundbreaking to first tool move in

a) Standardized design concepts

b) Design tools including e-tools

c) More off-site module construction

Page 18: 2002 Factory Integration Scope Includes Wafer, Chip and Product Manufacturing Wafer Mfg Chip Mfg Product Mfg Distribution The Factory FEOL BEOL Probe/Test.

Continued Standardization is needed to Reduce Integration Time, Cost, and Complexity

ProcessEquipment

UI

Material Handling SystemsProduction Equipment Interfaces Automation data interfacesFacilities hook-upCarriers

Production EquipmentAMHS interfacesAutomation data interfacesFacilities hook-upESD

FacilitiesHeight, weight, temperatureEquipment Hook-upSafetyAMHS

Eqpt(side view)

Factory Information & ControlE-Factory standards (EEC, APC, etc.)Equipment Data InterfacesCompany Data InterfacesSecurity

Customer /Supplier

Partner

Not an exhaustive list

Test EquipmentAutomation data interfaces AMHS interfacesFacilities hook-upESD Security

Firewall

Page 19: 2002 Factory Integration Scope Includes Wafer, Chip and Product Manufacturing Wafer Mfg Chip Mfg Product Mfg Distribution The Factory FEOL BEOL Probe/Test.

Potential Solutions driving R&D Agenda Engineering chain management models, data integration and

interface standards

Factory capacity planning and supply chain management systems integrated with actual factory data

Internet based Manufacturing and Engineering systems

Advanced Factory/Mfg Modeling Tools and Capabilities

Equipment Engineering Capabilities (EEC) e-diagnostic, fault detection, advanced process control, on-line manuals,

spares management, etc.

Scheduling, Dispatching, and MES integration for Direct Transport AMHS

Additional Industry Standards for Equipment, AMHS, Facilities, and Information/Control Systems

Page 20: 2002 Factory Integration Scope Includes Wafer, Chip and Product Manufacturing Wafer Mfg Chip Mfg Product Mfg Distribution The Factory FEOL BEOL Probe/Test.

Key Messages1. Improving the Factory’s Cost, Productivity and Speed is essential

2. Business strategies, market demands, and process technology changes continue to make factories difficult to integrate

3. More focus must be spent on new product development and high mix factory cycle times

4. Gaps in Production Equipment OEE, Factory NPW usage, and Factory modeling must be improved.

5. e-Factory concepts are being developed to solve complexity, integration and equipment OEE issues

6. Standards have been very effective in 300mm, but must be implemented more consistently in some areas

7. More focus must be given to Post-Fab manufacturing (Assembly, Test, etc.) to improve productivity

Page 21: 2002 Factory Integration Scope Includes Wafer, Chip and Product Manufacturing Wafer Mfg Chip Mfg Product Mfg Distribution The Factory FEOL BEOL Probe/Test.

No Significant 2002 Changes to ESD Requirements

Was

Is

Was

Is

Was

Is

Was

Is

Was

IsAbility to run different recipes/parameters for each wafer

Partial Yes Yes Yes Yes Yes Yes Yes Yes Yes

WasMaximum allowable electrostatic field on wafer and mask surfaces (V/cm)

150 150 100 100 75 75 50 50 25 25

IsMaximum allowable electrostatic field on wafer and mask surfaces (V/cm)

WasRelative capital cost [1] of production equipment

<1.3x of 200mm

[2]® ® New base ® ® New base New base

<1.3x of 300 mm

New base

Is

150 150 100 100 75 75 50 50 25 25

Facilities Technology Requirements

Was

Is

Was

I s

Was

I s

Was

I s

Was

I s Utility cost per total factory operating cost (%)

Was Maximum allowable electrostatic field on facility surfaces (V/cm)

150 150 100 100 75 75 5050 25 25

I s Maximum allowable electrostatic field on facility surfaces (V/cm) 200 150 150 100 100 75 75 50 50 25

---- 200 ---- 150 ---- 100 ---- 75---- 50

Test Manufacturing Technology Requirements

WasMaximum allowable electrostatic charge on devices

1-2.5 nC100-250V

1-2.5 nC100-250V

1-2.5 nC100-250V

1.0 nC100V

1.0 nC100V

0.5 nC50V

0.5 nC50V

0.1 nC10V

0.25 nC25V

0.25 nC25V

1-2.5 nC100-250V

1-2.5 nC100-250V

1-2.5 nC100-250V

1.0 nC100V

1.0 nC100V

0.5 nC50V

0.5 nC50V

0.1 nC10V

0.25 nC25V

0.25 nC25VIs

Maximum allowable electrostatic charge on devices

Facility electrostaticlevels stds

The SEMI ESD Task force iscurrently working on a new document to define facility electrostatic levels. First ballot expected March 2003. Change color to blue – under development

Facilities Standards

• No data available to support changing the values in the tables• SEMI ESD Task Force working on a document for electrostatic compatibility in the

factory – most likely data source for changes

Production Equipment Technology Requirements