· 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna,...

74
2014 5 6 ЕЛЕКТРОТЕХНИКА И ЕЛЕКТРОНИКА ELECTROTECHNICA & ELECTRONICA The conference is devoted to 145 Jubilee of Bulgarian Academy of Sciences Eleventh International Conference on Electron Beam Technologies 8-12 June2014, Varna, Bulgaria 11 EBT 2014 Electron Beam Technologies

Transcript of  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna,...

Page 1:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

20145 6

ЕЛЕКТРОТЕХНИКА

И ЕЛЕКТРОНИКА

ELECTROTECHNICA

& ELECTRONICA

The conference is devoted to

145 Jubilee of

Bulgarian Academy of Sciences

Eleventh International

Conference on

Electron Beam Technologies

8-12 June2014, Varna, Bulgaria11EBT 2014

Electron

Beam

Technologies

Page 2:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

11th International Conference on Electron Beam Technologies

(EBT 2014) 8-12 June 2014, Varna, Bulgaria

The Conference is organized by

THE INSTITUTE OF ELECTRONICS AT THE BULGARIAN ACADE MY OF SCIENCES In cooperation with

� THE TECHNOLOGICAL CENTRE OF ELECTRON BEAM AND PLASMA TECHNOLOGIES, Sofia

� UNION OF ELECTRONICS, ELECTRICAL ENGINEERING AND TELECOMMUNICATIONS – Bulgaria

International conference EBT’14 topics :

� physics of intense electron beams; � electron-optical systems and facilities for measure ment and control of electron beams; � free electron devices, electron beam welding; � electron beam melting and refining, high rate depos ition of metallurgical coatings; � surface modification; � thermal processing and thin films fabrication by el ectron beams; � electron lithography; � electron beam curing of polymers and composites; � ion lithography, ion implantation; � modelling of physical processes during the interact ion of charged particle beams with materials; � applications of charged particles beams in nanotech nology and nano-electronics, in medicine and

industry; � electron beam equipment design and automation.

The conference is devoted to

145 Jubilee of Bulgarian Academy of Sciences

INTERNATIONAL ORGANIZING

COMMITTEE

A. Kaydalov – Ukraine C. Ribton – U.K. D. Amalenkar – India D. Kovalchuk – Ukraine D. Trushnikov – Russia E. Koleva – Bulgaria E. Oks – Russia G. Mattausch – Germany G. Mladenov – Bulgaria - - Chairman I. Kostic –Slovakia I. Mihalescu - Romania

K. Vutova – Bulgaria M. Nemtanu – Romania M. Zobac – Czech Republic N. Kuksanov – Russia T. Tanaka –Japan R. Bakish – USA R. Zenker – Germany V. Belenkiy –Russia V. Dragunov – Russia V. Engelko –Russia V. Shelyagin – Ukraine V. Vasileva – Bulgaria

LOCAL ORGANIZING COMMITTEE K. Vutova – co-chairman; E. Koleva – co-chairman; V. Vasileva, V. Donchev

Electron Beam Technologies

International Conference Varna

Page 3:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

ELEKTROTECHNICA & ELEKTRONICA E+E Vol. 49. No 5-6/2014 Monthly scientific and technical journal

Published by: The Union of Electronics, Electrical Engineering and Telecommunications /CEEC/, BULGARIA

Editor-in-chief:

Prof. Ivan Yatchev, Bulgaria

Deputy Editor-in-chief:

Assoc. Prof. Seferin Mirtchev, Bulgaria

Editorial Board:

Prof. Christian Magele, Austria Prof. Georgi Mladenov, Bulgaria Prof. Georgi Stoyanov, Bulgaria Prof. Ewen Ritchie, Denmark Prof. Hannes Toepfer, Germany Dr. Hartmut Brauer, Germany Prof. Maurizio Repetto, Italy Prof. Rumena Stancheva, Bulgaria Prof. Takeshi Tanaka, Japan Dr. Vladimir Shelyagin, Ukraine Acad. Prof. Yuriy I. Yakymenko, Ukraine Assoc. Prof. Zahari Zarkov, Bulgaria

Advisory Board:

Prof. Dimitar Rachev, Bulgaria Prof. Emil Vladkov, Bulgaria Prof. Emil Sokolov, Bulgaria Prof. Ervin Ferdinandov, Bulgaria Prof. Ivan Dotsinski, Bulgaria Assoc. Prof. Ivan Vassilev, Bulgaria Assoc. Prof. Ivan Shishkov, Bulgaria Prof. Jecho Kostov, Bulgaria Prof. Lyudmil Dakovski, Bulgaria Prof. Mintcho Mintchev, Bulgaria Prof. Nickolay Velchev, Bulgaria Assoc. Prof. Petar Popov, Bulgaria Prof. Sava Papazov, Bulgaria Prof. Stefan Tabakov, Bulgaria

Editor of this issue:

Corr. Memb. of BAS, Prof. Georgi Mladenov

Technical editor:

Assoc. Prof. Dr. Eng. Elena Koleva

Corresponding address:

108 “Rakovski” str. Sofia 1000 BULGARIA

Tel. +359 2 987 97 67 e-mail: [email protected] http://epluse.fnts.bg

ISSN 0861-4717

C O N T E N T S Special issue: Scientific papers from 11-th International Conference on Electron Beam Technologies – EBT 2014, Varna, BULGARIA Preface by Corr. Member of BAS, Prof. DSc. G. Mladenov 5

ELECTRON BEAM WELDING

A. Shcherbakov, A. Goncharov, A. Kozhechenko, A. Gordenko, A. Sliva, V. Balashov, V. Dragunov, V. Rubtsov Modern problems and development methods of electron beam welding systems 7

D. Trushnikov, G. Mladenov Numerical modeling of parameters of the plasma, generated during electron beam welding 13

D. Trushnikov, G. Mladenov, V. Belenkiy, E. Koleva Ion current collected from generated plasma during EBW 22

E. Koleva, D. Trushnikov, V. Belenkiy, G. Mladenov Control of electron beam welding of plates, using beam current of back plate side 30

D. Trushnikov, E. Salomatova, A. Tsaplin, V. Belenkiy Simulation evaporation processes in electron beam welding 34

U. Reisgen, S. Olschok, S. Ufer Accurate diagnostic of electron beam characteristics 40

E. Koleva, G. Mladenov, M. Kardjiev, D. Todorov Electron beam characterization at changes of EBW process parameters 46

V. Braverman, V. Belozertsev, V. Bogdanov, N. Uspenskiy, A. Beniyash Seam tracking during electron beam welding in air 56

V. Laptenok, A. Druzhinina, A. Murygin, Y. Seregin

Compensation of the effect of magnetic fields on the electron beam position in the process of electron beam welding

62

A. Vasilyev, V. Erofeev, V. Sudnik Mechanism of onset of keyhole depth fluctuations at beam welding process 68

A. Sliva, V. Dragunov, A. Goncharov, E. Terentyev, M. Gribkov Electron beam welding of elements supporting structure of the front wall module blanket ITER 75

Page 4:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“Е+Е”, 5-6/2014 2

P. Havlík, P. Šohaj, J. Kouřil, R. Foret, I. Dlouhý EBW of austenitic stainless steel and ODS ferritic steel 82

E. Koleva, D. Trushnikov, V. Belenkiy, G. Mladenov, S. Angelov, D. Todorov Model-based quality optimization of EBW of steel

90

T. Olshanskaya, G. Permyakov, V. Belenkiy, D. Trushnikov Influence of electron beam's oscillations on weld's structure formation of dissimilar materials on an example steel with bronze 97

I. Pobal, S. Yurevich Electron beam welding of superconducting cavities made of ultra-pure niobium 103

K. Frikach, J White, J. Houtz Prediction of the partial penetration depth on 15-5PH materials during electron beam welding 108

ELECTRON BEAM MELTING AND REFINING

M. Grechanyuk, A. Melnyk, I. Grechanyuk, V. Melnyk, D. Kovalchuk Modern electron beam technologies and equipment for melting and physical vapor deposition of different materials 115

V. Melnyk, I. Melnyk, B. Tugai, D. Kovalchuk Recent Progress in Development of Gas-Discharge Electron Beam Guns Providing Extension of Their Technological Capabilities 122

Sh. Shi, Y. Tan, D. Jiang, W. Dong, Sh. Wen Effects of beam patterns on removal of phosphorous in silicon by electron beam melting 126

V. Donchev, K. Vutova, T. Chernogorova Economic and conservative numerical scheme for non-stationary heat model for EBMR 132

V. Vassileva, K. Vutova, V. Donchev, A. Stoimenov, D. Amalnerkar, N. Munirathnam Electron beam melting and recycling of Nickel 138

M. Voron, A. Doniy Structure and properties management of cast α-Titanium alloys, produced by electron beam skull melting with electromagnetic stirring 144

ELECTRON ACCELERATOR APPLICATIONS

A. Ponomarev, P. Metreveli, A. Metreveli, A. Bludenko, V. Chulkov

Decomposition of a vegetative biomass by electron-beam irradiation and heating 150

P. Metreveli, A. Metreveli, A. Ponomarev, A. Frumkin The electron-beam treatment of water polluted by humic acids and lignin 157

B. Han, J. Kim, Y. Kim, S. Jung Electron Beam Technology for Environmental Conservation 163

N. Kuksanov, Yu. Golubenko, P. Nemytov, R. Salimov, S. Fadeev, A. Korchagin, D. Kogut, E.Domarov, A. Lavruchin, V. Cherepkov, V. Semenov Tendency of development of DC type ELV accelerators for industrial application and research experiments 168

I. Bataev, M. Golkovskii, N. Kuksanov, A. Ruktuev, I. Polyakov, A. Bataev, V. Samoilenko Surface alloying of titanium alloys with refractory elements by electron-beam processing 174

N. Kuksanov, M. Stepanov, A. Rojkh Experience of 30 years operation of EB treatment installation at PODOLSKKABEL plant 178

THIN FILMS, BULK MATERIAL DEPOSITION, MODIFICATION OF SOLID SURFACES

G. Mattausch, B. Zimmermann, F. Fietzke, J.-P. Heinss, B. Graffel, F. Winckler, F.-H. Roegner, Ch. Metzner Gas discharge electron sources - proven and novel tools for thin-film technologies 183

N. Grechanyuk, I. Grechanyuk, Е. Khomenko, A. Melnik, V. Grechanyuk Composite materials with a metal matrix condensed from vapor phase: Dispersion strengthened metals 196

N. Grechanyuk, I. Grechanyuk, Е. Khomenko, A. Melnik, V. Grechanyuk Composite materials with a metal matrix condensed from vapor phase: Microlayer materials 201

N. Grechanyuk, I. Grechanyuk, Е. Khomenko, A. Melnik, V. Grechanyuk Composite materials with a metal matrix condensed from vapor phase: Microporous materials 206

P. Zhemanyuk, I. Petrik, О. Gnatenko, Y. Marchenko Electron beam heat treatment of aircraft engine combustion chamber casings, made of precipitation-hardened heat-resistant Chrome-Nickel alloys 212

R. Fetzer, A. Weisenburger, G. Mueller Surface layer modification by large-area pulsed electron beams

216

T. Pinto, A. Buxton, K. Neailey, S. Barnes Surface engineering improvements and opportunities with electron beams 221

Page 5:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“Е+Е”, 5-6/2014 3

K. Vutova, V. Vassileva, A. Stoimenov, E. Koleva, T. Ivanova, G. Bodurov, K. Gesheva,G. Mladenov Optical and structural investigation of WOx films, deposited by electron beam evaporation process

226

ELECTRON OPTICS, ELECTRON GUNS AND ELECTRON BEAM MASHINES

C. Ribton High angle, high integrity beam deflection 231

V. Engelko Source of radial converging electron beam for modification of long-length cylindrical targets 236

N. Rempe, S. Kornilov, A. Beniyash, N. Murray, T. Hassel, C. Ribton Characterisation of electron beams generated by a plasma cathode gun 242

S. del Pozo, C. Ribton, D. Smith A novel RF excited plasma cathode electron beam gun design 249

I. Melnyk Simulation of time of current increasing in impulse triode high voltage glow discharge electron guns

254

A. Goncharov, V. Dragunov, A. Sliva, M. Portnov, E. Terentyev, A.. Scherbakov Technological electron beams parameters evaluation based on the optical radiation in vacuum 259

V. Gruzdev, V. Zalesski Electro-optical characteristics of the beams generated by the electron plasma sources 264

S. Belyuk, I. Osipov, A. Rau, G. Semenov Electron beam welding machines with plasma cathode gun 269

M. Radchenko, Yu. Shevtsov, T. Radchenko, D. Nagorniy The exploitation of concentrated energy flows for welding and protective coating production 274

ELECTRON LITHOGRAPHY, ELECTRO-OPTICAL DEVICES AND POLYMER MODIFICATION

I. Kostic, A. Bencurova, A. Konecnikova, P. Nemec, A. Ritomsky, E. Koleva, K. Vutova, G. Mladenov Study of electron beam resists: Negative tone HSQ and positive tone SML300 279

V. Kazmiruk, I. Kurganov, T. Savitskaja

Mask less lithography cluster for low and medium volume manufacturing 284

U. Maschke, M. Bouchakoura, Y. Derouichea, Z. Bouberka Electro-optical devices by electron beam technique: polymer dispersed liquid crystal materials 290

Z. Bouberka, A. Nadim, A. Benabbou, U. Maschke Radiation-induced degradation of two organic pollutants from aqueous solutions of TiO2 nanocomposites 297

COMPLEMENTARY TECHNOLOGIES BASED ON USE OF ELECTRONS, IONS, PLASMA AND LASERS

T. Hassel, N. Murray, A. Beniyash, N. Rempe, S. Kornilov

Non-vacuum electron beam cutting - a new high performance process 303

L. Dupák Electron beam micromachining of plastics 310

А. S. Klimov, А. А. Zenin, Е. М. Oks, О. L. Khasanov, E. S. Dvilis, A. О. Khasanov Electron beam sintering of ceramics 315

V. Sheliagin, V. Spivac, A. Bematskyy, M. Tirsu The microstructure of the surface layers by laser and laser-microplasma doping 319

V. Spivak, V. Khaskin, M. Tirshu Laser scalpel 324

K. Shimono, N. Fujimura, H. Noguchi, H. Toyota, Y. Shiray, T. Tanaka, K. Vutova Pulse width dependence of the self-ignited plasma using a plasma-based ion implantation 329

H. Kozai, N. Fujimura, H. Noguchi, H. Toyota, Y. Shirai, T. Tanaka, K. Vutova Preliminary estimation of incident ion energy by using simulation software (PEGASUS) 334

H. Noguchi, N. Fujimura, K. Shimono, H. Toyota, Y. Shirai, T. Tanaka Comparison of plasma spectral characteristics using a plasma-based ion implantation 339

K. Kakugawa, M. Kubo, H. Noguchi, K. Shimono, N.Fujimura, Y. Tsuchiya, T. Tanaka Spore-forming bacteria sterilization using Plasma-based ion implantation 343

N. Fujimura, K. Shimono, H. Noguchi, H. Toyota, Y. Shirai, T. Tanaka Estimation of self-ignited plasma density by using plasma-based ion implantation 348

E. Krivonosova, A. Gorchakov, I. Ponomarev Micro-arc oxidation of aluminum alloy 352

Page 6:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“Е+Е”, 5-6/2014 4

V. Spivak, E. Koleva, A. Vlasiuk Development and generalization of scientific and educational information book in the field of nanoelectronics 356

COMPANY PRESENTATIONS

M. Neumann Von Ardenne GmbH, Dresden, Germany 360

W. Dorl, H. Schacke, I. Stolberg Variable shaped beam lithography for micro- and nanotechnology - Vistec Electron Beam GmbH, Jena, Germany 368

T. Vesely, M. Herynk Reconstruction of Irradiated Specimens - UJV Řež,

a. s. Husinec - Řež, Czech Republic 372

P. Szanto Kurt J. Lesker Co. Ltd. 373

J. Sanderson TWI Ltd. – Materials joining and engineering technologies

374

Authors’ Index 378

Съдържание 380

Page 7:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

SPECIAL ISSUE The Eleventh International Conference on Electron Beam Technologies (EBT 2014)

8-12 June 2014, Varna, Bulgaria

Preface

This issue contains the papers, presented on the

International Conference on Electron Beam Technologies (EBT 2014) that was held in Varna, Bulgaria. The papers are accepted for publication from International Organizing Committee after reviewing.

The history of EBT Conferences dates back to 1985, when the first meeting in the series was held in Varna. The conferences with EBT logo are organized by me and my colleagues from the Institute of Electronics at Bulgarian Academy of Sciences and the created by me Technology Centre of Electron Beam and Plasma Technologies and Techniques, with a co-organizer the Bulgarian Union of Electrical engineering, Electronics and Tele-communications. Since 1985 up to tenth one (EBT 2012) these conferences were triennial. The EBT 2014 is eleventh from this series and now the period between conferences was changed to two years.

Varna seaside was the venue of all of these conferences. The professional meetings of academic scientists and industrial experts in the specific area of electron beam technologies demonstrate viability despite the political changes in Eastern Europe and the economy depressions in the world.

This year the Bulgarian Academy of Sciences is on 145 years and Bulgarian organizers hold the light for that celebrating jubilee, accepting the EBT 2014 as scientific-technical meeting, devoted to this jubilee of BAS.

During the twenty nine years from the First International Conference on EBT in Varna we had witnessed and participated in the great advance in the electron beam equipment, technologies and applications. The majority of participants in our series of conferences now are yang researchers and scientists from the next generations, appearing after the participants of the very first conferences.

The founding idea standing behind the EBT meetings is to bring together researchers sharing interest in

the variety of aspects of development, technologies and applications of electron beams and of the complementary technologies, utilizing lasers, ions and plasma. These conferences also give them possibilities to discuss the recent developments of the subject. A joint feature of the conferences is the fact that they provide a friendly and supportive forum for yang researchers to present their results.

This and the previous ten conferences of these series demonstrate the multidiscipline character of our field, the great potential of new applications of electron beams, the increased knowledge of understanding the processes of formation of intense electron beams and their interaction with materials. The core areas of EBT 2014 papers are the physical investigations and new technologies in electron beam welding, application of electron accelerators, electron beam non-thermal modification of materials, electron optics and especially electron guns with plasma emitters, some examples of adjacent technologies with lasers, ion beams and plasma flows.

The Proceedings of EBT 2014 are printed for forth time as regular issue of one of the most respectful Bulgarian scientific and technical journals: “Electrotechnica and Electronica” (The Electro-technical Engineering and Electronics), published by Union of Electronics, Electrical engineering and Telecommunications of Bulgaria. Before that, two volumes of “Vacuum”, with the Proceedings of our conference were also published.

As an Editor of this issue I hope, that the papers, published here will provide to the subscribers of “Electrotechnica and Electronica”, as well to the readers in Bulgarian libraries a new portion of state-of-the-art information on the science and applications of electron beams.

On behalf of the International Organizing Committee of the EBT 2014 and of behalf of the Editorial Board of the journal “Electrotechnica and Electronica” I express my thanks to all the participants and their Institutions for contribution to the realization of the conference and cooperation to prepare this issue. My thanks go also to Assoc. Prof. E. Koleva for Technical editing this issue as well to E. Koleva together with Prof. K. Vutova for executing various activities for the successful organization of this conference.

Prof. Georgy Mladenov Chairman of the EBT’2014, Editor of this issue, Corresponding member of BAS. e-mail: [email protected]

Page 8:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

The conference is devoted to

145 Jubilee of Bulgarian Academy of Sciences

Page 9:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 7

ELECTRON BEAM WELDING

Modern Problems and Development Methods of Electron Beam Welding Systems

Alexey V. Shcherbakov, Alexey L. Goncharov, Alexey S. Kozhechenko, Alexey K. Gordenko, Andrei P. Sliva, Vladimir N. Balashov, Victor K. Dragunov, Viktor P.

Rubtsov

At present the area of industrial application of electron beam technology is constantly expanding. Today, there are new materials, technologies and standards, and thus approaches to the design of electron beam systems must be rebuilt. In order to meet the technological and technical requirements, which are often contradictory, it is necessary to implement integrated design techniques based on the solution of interdisciplinary problems. Precision calculation method of electron-optical systems must take account of the specific features of technological processes, such as the effect of space charge in the cathode region and the formation of ion beams in the accelerating field. It is absolutely necessary to consider the influence of the dynamic processes in the supply sources on the electron gun operation. Especially critical influence of transients in power supplies with pulse control of the beam parameters. In the paper the main problems of building welding technological complexes and methods for their solution are considered.

Модерни проблеми и методи на разработка на системи за електроннолъчево заваряване (А. Щербаков, А. Гончаров, А. Козеченко, А. Горденко, А. Слива, В. Балашов, В. Драгунов, В. Рубцов). Понастоящем областта на индустриални приложения на електроннолъчевите технологии постоянно расте. Днес има нови материали, технологии и стандарти, и така подходите за конструиране на електроннолъчеви системи трябва да се обновят. За да се посрещнат технологичните и технически изисквания, които често си противоречат, е необходима да се въведе техника за интегрално конструиране, базирана на решения на интердисциплинни проблеми. Прецизното пресмятане на електронно-оптични системи трябва да е съобразено със специфичните особености на технологичните процеси, такива като обемния заряд в катодната област и формирането на йонен сноп в ускоряващото поле. Абсолютно е необходимо да се разгледа влиянието на динамичните процеси в захранващите източници върху работата на електронните пушки и по-специално критичното влияние на преходните процеси в мощните захранвания с импулсно управление на параметрите на снопа. В работата са разгледани главните проблеми на създаване технологични комплекси за заваряване и методите за тяхното решаване.

Introduction It is known that the design of the electron beam

welding system is a very sophisticated problem. Since 1950s, when the first industrial welding machines were built [1], to present time, the elementary bases of electronics, mechanics and vacuum equipment were totally changed several times. It is obvious that in such circumstances the

development of welding machines needed to involve specialists from various fields - electron optics and beam physics, materials science, power electronics and electrical engineering, vacuum technology and control systems. Such specialists will find the best solutions for your area that will economically and technically justified. However, developing meet specific requirements coming from the technology, often contradictory. Such as the requirement to

Page 10:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 13

Numerical modeling of the plasma parameters, generated during

electron beam welding

Dmitriy N. Trushnikov, Georgi M. Mladenov

It is described a model of plasma formation in the crater in liquid metal as well as above electron beam welding zone. Model is based on solution of two equations for density of electrons and mean electron energy. The mass transfer of plasma heavier particles (neutral atoms, excited atoms and ions) is taken in the analysis by diffusion equation for multicomponent mixture. Electrostatic field is calculated by Poisson equation. On crater wall is calculated thermion electron emission. Ionization intensity of vapor by beam electrons and high-energy secondary and back-scattered electrons is calibrated using plasma parameters in the case of lack of polarized collector electrode above welding zone. The calculated data are in good agreement with experimental ones. The results of plasma parameters in the case of excitation of non-independent discharge are given. There is shown need to take in account effect strong electric field in the crater near the crater wall on electron emission (effect Schottky) at calculation of current non-independent discharge. Calculated electron draft velocities much bigger than velocity, at which is arising current instabilities. This confirm assumed idea for beginning ion-acoustic instabilities, observed also experimentally.

Числено моделиране на формирането и параметрите на плазмата, генерирана по време на електронно-лъчево заваряване (Д. Трушников, Г. Младенов). Описан е модел на формирането на плазма в кратера в течния метал над зоната на заваряване с електронен лъч. Моделът се основава на решаване на две уравнения за електроните и за средната електронна енергия. Масовия пренос от тежките плазмени частици (неутрални атоми, възбудени атоми и йони) е анализиран от дифузионното уравнение за много-компонентна смес. Електростатичното поле се пресмята с уравнението на Поасон. Термоелектронна емисия от стените на кратера се отчита. Интензитета на йонизация на парите от електроните на снопа и от обратно-отразените електрони се калибрира, използвайки параметрите на плазмата в отсъствие на колектиращ електрод над зоната на заваряване. Пресметнатите данни са в добро съответствие с експерименталните данни. Дадени са плазмените параметри, пресметнати при възбуждане на не-самостоятелен разряд. Показана е необходимостта да се отчита силното електрическо поле около стените на кратера върху електронната емисия (ефект на Шотки) при пресмятане на несамостоятелния разряд. Пресметнатите дрейфови скорости на електроните са много по-високи от скоростите, при които възникват токови неустойчивости. Това подкрепя представата за възбуждане на йонно-акустични вълни, които са наблюдавани и експериментално.

Introduction

Electron beam welding is wide distributed technology for joining of metals due to numerous advances in comparison with other welding technologies. In the same time, optimization and quality improvement are empirical or based on applications of statistical methods, due to complex character and lack of models of physical processes in

the crater in welding bath. One of phenomena during interaction of concentrated energy beam with metal sample is generation of low temperature plasma in welding zone [1-10]. Study of plasma parameters and their relation with process product performances will help to extend knowledge for control of electron beam welding and approaches for its optimization. Difficulties of experimental measurement of plasma parameters in the crater in the welding bath forced the investigators to estimate

Page 11:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 22

Ion Current, Collected from Plasma above the Welding Zone during Electron Beam Welding

Dmitriy N. Trushnikov, Georgi M. Mladenov, Vladimir Ya.Belenkiy, Elena G. Koleva

Мeasurements of fluctuations of the ion current, collected by the plasma, generated during electron beam welding and treatment of the acquired data with modern digital methods are executed. Through the application of Coherent accumulation the random impulses of ion current are transformed in well determined probability of excitation of instabilities of ion current. In the paper experimental data at electron beam welding of chromium-nickel steel with the following composition: up to 0.12 % carbon, 18 % chrome, and 0.8 % titanium are analysed and the functions of evaluated probability, obtained by Matlab computer software and data for the dimensions of the crater in welding bath, obtained on this base, are presented. There are also given amplitudes of maxima of the probability of excitation of instabilities of ion current and time lags of these maxima towards the moments of change of the direction of deflection current. These data can be used as base of remote control of electron beam welding process in real time.

Йонен ток, получен от плазмата над зоната на заваряване при електроннолъчево заваряване (Д. Трушников, Г. Младенов, В. Бененкий, Е. Колева). Направени са измервания на флуктуациите на йонния ток, течащ към отрицателен колектор от плазмата, генерирана по време на електроннолъчево заваряване и обработка на събраните данни с модерни числени методи. Чрез прилагане на метода „Кохерентно набиране“ случайните импулси на йонния ток се превръщат в добре детерминирани вероятности на възбуждане на нестабилности на йонния ток. В работата са анализирани експериментални данни от електроннолъчево заваряване на хром-никелова стомана със следното съдържание: до 0.12 % въглерод, 18 % хром, и 0.8 % титан и е представена функцията на оценената вероятност, получена чрез компютърния софтуер Matlab и данни за размерите на кратера в заваръчната вана, получени на тази основа. Дадени са също амплитудите на максимумите на вероятността на възбуждане на нестабилности на йонния ток и времената на закъсняване на тези максимуми по отношение на моментите на промяна на посоката на тока през отклоняващите бобини. Тези данни могат да се използват като база за дистанционен контрол на заваръчния процес в реално време.

Introduction Electron beam welding has been developed over

the years into a flexible and economic manufacturing tool. Due to the deep penetration in the work-piece, the electron beam is able to generate narrow weld with minimal thermal affected zone and without the usage of welding consumables. The high vacuum required by the method prevents the heated and melted material from oxidizing and affecting by atmosphere’s pollutions. The complex processes of energy deposition in narrow crater in welding bath make difficulties for clear understanding of the all approaches of electron beam welding optimization.

Experiments to receive new information for the processes in welding crater through studying the collected secondary charged particle currents above the welding zone is realized from many years [1-13]. In the papers from 80-th and 90-th years of 20-thies century were searched a coincidence of separated fluctuations of the collected current and the root peaks or weld defects as gas voids in the weld metal [1-7]. In later investigations [8-13] is accepted, that due to interaction between various factors, the behavior of the instabilities of collected current is more complex and there is searched more complicated correlations between the measured signals and the welding results.

From the some pioneer investigations [14, 15,

Page 12:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 30

Control of Electron Beam Welding of Plates, Using Current of the Back Plate Side

Elena G. Koleva, Dmitriy N. Trushnikov, Vladimir Ya. Belenkiy, Georgi M. Mladenov

The conventional systems for control of throughout welds used beam current, that is passing through the crater in the liquid metal to the space on the back side of sample. In this paper a collector, situated not opposite the crater orifice from the back side of sample measures non-independent discharge current between overheated spots on the crater wall through plasma to positively polarized collector. The features of the collected current and possibilities to its use in automation control system for improvement of the back side welding face are discussed.

Управление на електроннолъчево заваряване на пластини, използвайки тока от обратната страна на пластината (Е. Колева, Д. Трушников, В. Бененкий, Г. Младенов). Обикновено системите за управление на шевове с пълен провар използват тока, преминаващ през кратера в течната вана в пространството под заварявания образец. В тази работа колектор, разположен от обратната страна на заварявания образец (не под долния отвор на кратера) измерва тока на несамостоятелния разряд между прегретите петна върху стената на кратера през плазмата до положително поляризирания колектор. Обсъдени са особеностите на колектирания ток и възможностите за неговото използване в автоматизирана система за управление на лицето на шева от обратната страна на образеца.

Introduction Electron beam welding (EBW) is wide used for

executing of responsible and expensive joints. Due to that the quality improvement of the technology process is an actual task. The control of the welding depth is important action to ensure the quality of welding production.

One important case of electron beam welding is obtaining quality welds through the whole thickness of the plate [1-5]. Maximum attention is directed to form uniform weld surface from the back side of joint parts. It is known, that during electron beam welding the beam penetrates in the metal sample through a narrow crater. In the case, in which the weld root is reaching the back surface of welded sample or plate a hole is open there and then metal vapors from the crater flow away from two orifices and pressure in the crater is going down. As a result the beam current can be decreased about 5-25 % and nevertheless the weld continues to pass through the whole sample (plate). Often, if the sample thickness is more than 5-10 mm and EBW is performed with vertical beam, some of the liquid metal from the welding bath flows down

making irregular weld back face, that can be a problem, if the joint is used without further machining (see Fig.1).

Fig. 1. Image of the back side of seam with an irregular weld back face

For providing a regime of welding, that prevents the liquid metal flow through the orifice, the back side opening must be small. There is an invention [3], in which the beam current, propagating through the crater is collected by an electrode opposite the back

Page 13:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 34

Simulation Evaporation Processes in Electron Beam Welding

Dmitriy N. Trushnikov, Ekaterina S. Salomatova, Aleksey I. Tsaplin, Vladimir Ya. Belenkiy

In this paper the method of experimental estimation of the temperature in a keyhole in electron beam welding is described on the basis of chemical elements concentration in the vapors above welding zone. The temperature of a vapor-gas phase in the keyhole is determined when equating calculated and experimental concentrations of the elements. Thermodynamic calculations are based on diffusion processes in the melt around the keyhole wall.

Моделиране на процесите на изпарение при електроннолъчево заваряване (Д. Н. Трушников, Е. С. Саломатова, А. И. Цаплин, В. Я. Беленкий). В тази статия е описан метод за експериментална оценка на температурата в канала на проникване на снопа при електроннолъчево заваряване, основан на измерване на концентрацията на химическите елементи в парите над зоната на заваряване. Температурата на парната фаза на газа в канала на проникване се определя при равенство на изчислените и експерименталните концентрации на елементите. Термодинамичните изчисления се основават на дифузионни процеси, протичащи в течния метал около стените на канала на проникване.

Introduction Electron-beam bonding plays a special role among

welding methods due to its high power concentration in electron welding beam and because of its capability to penetrate deep in the metal. These characteristics cause wide application of electron-beam welding in the production of critical parts from different alloys.

At electron-beam welding vapour and gas keyhole is formed, where an intensive evaporation of the material occurs. This may lead to the depletion with some elements in the melt metal comparing with the base metal. One can observe such process for metal alloys containing low-melting impurities. In turn, the pressure of the vapour leaving the melting zone at evaporation deflects the surface of a molten pool and the deep and narrow keyhole is formed, which liquid walls are hold by vapour pressure [1-3]. Therefore evaporation processes in electron-beam welding are interesting for the development of theoretical models of a weld joint formation process [4-5].

Evaporation processes are considered in the works [6], but the diffusion of impurities in the melt bulk is the base limiting process for the determination of the alloying components loss at electron-beam welding. The diffusion processes will occur in a thin layer near the keyhole. The problem becomes nonlinear in the

case of the strong (exponential) correlation between the diffusion coefficient and the temperature of the melt.

The purpose of the work is the construction of a theoretical model describing the chemical composition of a weld joint being in a liquid state at electron-beam welding. Such processes like the evaporation of the chemical elements from keyhole walls, condensation of elements of the alloy on the keyhole walls and the diffusion of the elements in the melt will have a great influence on the chemical composition of a weld joint.

Used data A number of simplifications are introduced to

construct the model. Firstly, the shape of a keyhole is approximated to a cylinder. The surface of the keyhole is considered to be isothermic at a given temperature being equal the temperature of the environment. Secondly, heat and mass transfer along the keyhole axis are neglected. Thus the problem comes to tow-dimensional formulation. Thirdly, the diffusion coefficient is used in the dependence of the melt temperature. Fourthly, whole medium is considered to be liquid because the depletion with alloying elements in the melt occurs in a thin layer near the keyhole surface. Fifthly, the pressure in the keyhole is different from the pressure under the

Page 14:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 40

Accurate Diagnostic of Electron Beam Characteristics

U. Reisgen, S. Olschok, S. Ufer

Diabeam - potential for online quality control in electron beam welding

Currently, several hundred machines for electron beam welding are applied in Europe, especially in the fields of research and development, aircraft and aerospace industry and automotive. The industry requires a beam tool which meets the highest demands made to the reproducibility during serial production. In order to meet this requirement, extensive measurement and test welds are carried out after the beam generator has been manipulated. A core problem which is faced by all manufacturers of electron beam machines is the objective measurement and documentation of the quality of the electron beam which is being produced by these machines.

Since neither standardized methods nor neutral measuring technique products exist for manufacturer-specific beam measurement system, the significance of those quality-controlling measures and their documentation is difficult to recheck and it is not comparable. The ISF has been developing with the assistance of the company aixACCT a manufacturer-independent measuring system. Within the scope of this research work, beam sensors which are suitable to correctly measure an electron beam with high accuracy have been developed.

Точна диагностика на характеристиките на електронния сноп (У.Рейсген, С.Олшок, С.Уфер). Диабиим-потенциалът му за контрол при електроннолъчево заваряване.

Понастоящем няколко стотици машини за електроннолъчево заваряване се използват в Европа, по специално в областта на изследванията и технологиите, самолетната и космическа индустрия, както и в автомобилостроенето. Индустрията изисква лъчев инструмент, който посреща най-високите нужди за възпроизводимост в серийното производство. За да се посрещнат тези изисквания, интензивни експерименти и тестови заварявания се правят след всяко манипулиране на електронната пушка. Същностен проблем, срещан от всички производители на електроннолъчеви машини, е обективно измерване и документация на качеството на електронния лъч, който е получен на тези машини.

Тъй като няма стандартизиран метод или неутрална измерителна техника, която да съществува за специфични за производителите измервания, е трудно тези измервания и документирането на контрола на качеството да се повторят или сравняват. Институтът по заваряване в Аахенския Университет разработи съвместно с фирма aixACCT един измерител-независима измервателна система. В рамките на това изследване е разработен лъчев сензор, подходящ за коректни измервания на електронния сноп с висока точност.

Introduction The electron beam is a tool which is capable to

provide welds which meet highest standards of quality and reproducibility. In every modern production chain where special attention is set on consistent quality of production, the metrological supervision of the production tools is part of the standard. Currently, several hundred machines for electron beam welding are applied in Europe, especially in the fields of research and development, aircraft and aerospace

industry and also for special applications in the field of automotive. Particularly the joining tasks in the aircraft and aerospace industry require a beam tool which meets the highest demands made to the reproducibility during serial production. Especially there, a correctly-aligned and optimally stigmatized beam is necessary to achieve a consistent manufacturing standard. In order to meet this requirement, extensive measuring and test welds are carried out every time after the beam generator has been manipulated. A core problem which is faced by

Page 15:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 46

Electron Beam Characterization at Changes of EBW Process Parameters

Elena Koleva, Georgi Mladenov, Marin Kardjiev, Dimitar Todorov

The characterization of the radial and the angular space distribution of particle trajectories (or respective current distributions) in powerful electron beams is an actual scientific and practical task, connected with the quality improvement of electron beam technologies, creating standards of electron beam welding machines and permitting transfer of concrete electron beam welding technology from one equipment to another.

For the applications of the advantages of electron beam welding it is necessary to know the properties of the electron beam. There are only standards for measurements of electron beam current and accelerating voltage as beam characteristics, applicable at the acceptance inspection of an electron beam welding machine or at investigations. These parameters cannot characterize the quality of produced electron beam in terms of the ability to be transported over long distances and to be focused into a small spot with a minimum of divergence.

During the last decade measurement of profile of intense electron beams by Enhanced modified Faraday cup were proposed. We develop further this tomography evaluation to be obtained the emittance of the beam, containing current distribution of the beam in studied cross-section, together with angular distribution of the beam electrons there. The emittance (or reciprocal value-the brightness normalized to one volt) is invariant value along the beam and could be used as а standard characteristic, for prognosis and optimization, as well as for transfer of technologies from one electron beam equipment to another.

Характеризиране на електронния лъч при промени на параметрите на процеса електроннолъчево заваряване (Е. Колева, Г. Младенов, М. Карджиев, Д. Тодоров). Характеризирането на радиалното и ъглово пространствено разпределение на траекториите (или съответното разпределение на тока) при интензивни електронни снопове е актуален научен и практически проблем, свързан с подобрение на качеството на електроннолъчевите технологии, създаване на стандарти при електроннолъчевите инсталации и трансфера на конкретни технологии от една електроннолъчева инсталация на друга.

За приложението на предимствата на електроннолъчевото заваряване е необходимо да се познават свойствата на електронния лъч. Има стандарти за измервания на тока на електронния лъч и ускоряващото напрежение, като характеристики, приложими при допусковия контрол на електроннолъчевите инсталации или при изследвания. Тези параметри не могат да характеризират качеството на генерирания електронен лъч, от гледна точка на възможността да бъдат пренасяни на дълги разстояния и да бъдат фокусирани върху малко петно с минимално отклонение.

През последното десетилетие е предложено измерване профила на интензивните електронни снопове чрез модифициран фарадеев цилиндър. Ние развиваме това томографско оценяване до определянето на емитанса на лъча, включваща разпределението на тока на лъча в дадено сечение, заедно с ъгловото разпределение на електроните. Емитансът (или реципрочната му стойност – нормализирана яркост за един волт) е инвариант по дължината на лъча и би могла да се използва като стандартна характеристика за прогнозиране и оптимизация, както и за трансфер на технологии от една електроннолъчева инсталация на друга.

Page 16:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 56

Seam Tracking during Electron Beam Welding in Air

Vladimir Ya. Braverman, Vladimir S. Belozertsev,

Valeriy V. Bogdanov, Nikolay V. Uspenskiy, Alexander E. Beniyash

We study methods of beam positioning at the joint during Electron Beam Welding in air.

Traditional methods of automatic beam positioning at the joint are unacceptable during the Electron Beam Welding in air because of the significant dispersion of electrons and the impossibility of the beam deflection inside the electron beam gun due to presence of an airlock. For joint tracking, we propose using magnetic fields of the current in the welded parts created by the beam's current. It is established that the vertical component of the magnetic field of the current in the welded parts is proportionate to the beam deflection at the joint. Differential flux gate meter is used as a tracking device. We outline the functional diagram is of the joint tracking device and address issues with error prevention methods.

Следене за процепа при електроннолъчево заваряване на въздух (Владимир Я. Браверман, Владимир С. Белозерцев, Валерий В. Богданов, Николай В. Успенский, Александър Е. Бенияш). Ние изучаваме методи на позициониране на лъча върху съединението при електроннолъчево заваряване в атмосферата. Традиционните методи за автоматично позициониране на снопа върху процепа между съединяваните детайли са неприемливи при електроннолъчево заваряване във въздух, поради значително разсейване на електроните и невъзможното отклонение на снопа извън пушката, поради присъствие на ефект на аеро-заключване. За следене на заваръчния процеп ние предлагаме използване на магнитните полета от токовете във заварените части, създадени от тока на лъча. Намерено е, че вертикалната компонента на магнитното поле на токовете в заваряемите детайли е пропорционална на отклонението на лъча от заваръчния процеп. Диференциален поток-метър се използва като следящо устройство. Приведена е функционална диаграма на следящото процепа устройство и адресираме данните по метода на избягване на грешки.

Introduction Recently, the industrial use of Electron Beam

Welding in air has grown significantly. However, issues with precise positioning of the electron beam at the joint of the welded parts, are the same as in the Electron Beam Welding in the vacuum.

Traditional methods of automatic beam positioning at the joint are unacceptable during the Electron Beam Welding in air because of the significant dispersion of electrons and the impossibility of the beam deflection inside the electron beam gun due to presence of an airlock. For seam tracking we propose using magnetic fields of the current in the welded parts created by the beam's current. It is established that the vertical component of the magnetic field of the current in the welded parts is proportionate to the beam deflection along the seam. Differential flux gate meter is used as a tracking device. We outline the functional diagram

is of the seam tracking device and address issues with error prevention methods.

Several aspects of this subject are covered in this work [1]. We describe a method of determining the beam’s position along the seam and provide a functional diagram of the device to help apply this method in the Electron Beam Welding in air.

The method to determine beam’s position at the joint

The proposed method is based on identification of a magnetic field of the welding current (IB) and the beam’s coordinates. The main idea of this method is that when a beam deflection from the joint occurs, a redistribution of welding current components and the current-induced magnetic fields follows [2], [3].

The electron beam current IB is divided into two components I1 and I2 (Fig. 1) with the help of current collectors.

Page 17:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 62

Compensation of the Еffect of Magnetic Fields on the Position of the Electron Beam in the Process of Electron Beam Welding

Valery D. Laptenok, Alexandra A. Druzhinina, Alexander V. Murygin, Yury N. Seregin

The paper presents the approximate formulas for calculating the deflection angle and the misalignment of the electron beam from the optical axis of the electron gun caused by the action of magnetic fields during the electron beam welding. Mathematical model of the effect of magnetic field induced by thermoelectric currents on the electron beam position in the process of electron beam welding of dissimilar materials is presented. The method of monitoring of the misalignment of the scanning electron beam and its mathematical model are proposed. Monitoring of the misalignment of the scanning electron beam is based on the processing of the signal of the collimated X-ray sensor directed to the optical axis of the electron gun by synchronous detection method. The method of compensation of the effect of magnetic fields by passing through the welded seam the currents which compensate thermoelectric currents is considered.

Компенсация на ефекта на магнитни полета върху позицията на електронния сноп в процеса на електроннолъчевото заваряване (Валери Лаптенок, Александра Дружинина, Алексанър Муригин, Юри Серегин). Работата представя апроксимиращи формули за пресмятане на ъгъла на отклонение и отместването на електронния лъч от оста на електронната пушка причинени от действието на магнитни полета при електроннолъчево заваряване. Представен е математически модел на влиянието на магнитното поле, индуцирано от термоелектрическия ток върху позицията на електронния лъч в процеса на електроннолъчево заваряване на разнородни материали. Предложен е метод за проследяване на отклонението на сканиращ електронен сноп и математическия му модел. Проследяването на това отклонение е основано на обработката по метода на синхронната детекция на сигнал от колимиран рентгенов сноп на рентгенов датчик, насочени по оптичната ос на пушката. Разгледан е метод за компенсация на влиянието на магнитни полета чрез пропускане през шева на ток, който компенсира термоелектронния ток.

Introduction One of the main causes of deflection of an electron

beam during electron beam welding (EBW) is the effect of magnetic fields. These fields can be caused by the magnetization of the equipment, the residual magnetization of welded products, the action of various electromagnetic devices, thermoelectric currents produced by temperature gradients in some dissimilar materials [1]. Different ways of reducing the effect of magnetic fields are used. There are demagnetization of products, shielding of electron beam, and the compensation of magnetic field in the welding zone. Demagnetization of products can significantly reduce the noise level, but it may be re-

magnetized. In addition, demagnetization of large parts is a labor-consuming and expensive process. Shielding of the electron beam by magnetic shield is the protection from external fields in the space of the shield location. This shield degrades possibility to monitor the process of EBW. Therefore, the compensation of magnetic field in the zone of magnetic field action is most appropriate [1].

Assessing the impact of the magnetic field To assess the effect of magnetic field on the

trajectory of the electron beam the formulas for calculating the deflection of the electron beam from the axis of the electron gun should be derived. Also, determination of the angle of the beam trajectory is an

Page 18:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 68

Mechanism of Onset of Keyhole Depth Fluctuations at Beam Welding Processes

Andrey A. Vasilyev, Vladimir A. Erofeev, Vladislav A. Sudnik

The mechanisms of the beginning of depth oscillations of the vapour-gas channel are examined in beam welding. It is assumed that the reasons of the root peaks are the evaporation and condensation of metal vapour in the channel. To test this assumption, a system of the non-stationary equations of energy and balance of pressure at the channel surfaces has been solved. The channel configuration is determined by the boiling temperature isotherm. The heat, spent for the production of the vapour, defined as the difference between the beam power and the heat sink power in metal. The heat distribution along the channel length is determined by the temperature difference between the actual value at a given point of the channel and the value required to maintain the pressure equilibrium. Solving this system of equations shows that the periodic collapse of the channel occurs in its neck when the pressure therein vanishes, and the vapour from the bottom of the whole channel completely condenses at its walls.

Механизъм на началото на флуктуациите на дълбочината на кратера при заваръчни лъчеви процеси (А. А. Василев, В. А. Ерофеев, В. А. Судник). Изследвани са механизмите на започване на колебания на газопаровия канал при лъчево заваряване. Прието е, че причината за остриета в корена на шева са изпарението и кондензацията на металните пари в кратера. За проверка на това допускане е решена една система от нестационарни уравнения за енергията и баланса на наляганията при повърхността на канала. Конфигурацията на канала се определя от изотермата на температурата на кипене. Топлината, изразходвана за изпарение, се определя като разлика между мощността на лъча и мощността на топлопредаване в метала. Топлоразпределението по дължината на канала е определена от температурната разлика между действителната стойност в дадена точка от канала и стойността, необходима да поддържа равновесие на налягането. Решавайки тази система от уравнения можем да видим, че периодични колапси на канала се получават в нейното гърло, когато налягането там изчезва и порите от дъното на канала изцяло кондензират на неговите стени.

Introduction The typical defects of beam welding methods are

root peaks of the penetration depth (spikes) and voids, Fig. 1. The occurrence of these voids is explained by repetitive processes leading to a periodic collapse of the neck portion of the vapor-gas channel (keyhole) [1]. Fig. 1 shows a metallographic section* of the seam end with a linear reduction of the beam current in the electron beam welding (EBW) of alpha titanium alloy Ti 6-2-4-2, which shows the independence of root defects from the input power.

*The contract between MTU Aero Engines, Munich, Germany and Tula State University, Russia, 1999-2000.

Fig. 1. Spikes in the titanium alloy Ti 6-2-4-2 weld

The first self-consistent model by Kroos et al. [2], taking into account the interaction of some phenomena of the process, such as non-equilibrium evaporation and the pressure balance components at the keyhole wall, allows for the calculation of the shape and size of the channel, as well as the temperature and pressure inside. Sudnik et al. [3]

Page 19:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 75

Electron Beam Welding of the Elements Module Blanket ITER

Andrey P. Sliva, Victor K. Dragunov, Alexey L. Goncharov, Egor V. Terent’ev, Maksim S. Gribkov

The article is devoted to research of electron beam welding construction elements for International Thermonuclear Experimental Reactor in National Resesrch University “Mocow Power Engineering Institute”. It reviews design and technology of electron beam welding elements ITER blanket module made of austenitic steel ANSI 316 L(N). This article provides information about using the EBW to joint channel covers of the heat carrier thickness from 5 to 10 mm of shield block and supporting structure of the first wall. It considers patented the construction of special root part grooving welded channel covers butt joint and demonstrates a possibility of the EBW longitudinal load-bearing joints 140 mm thick of shield blocks in narrow gap. The results of EBW research combined dissimilar weld joints of austenitic steel 316 L (N) with bronze CuAl10Ni5Fe4 30 mm thick.

Електроннолъчево заваряване на елементи от бланкетния модул на ИТЕР (Андрей П. Слива, Виктор К. Драгунов, Алексей Л. Гончаров, Егор В. Терентиев, Максим С. Грибков). Работата е посветена на изследване на електроннолъчево заваряване на конструктивни елементи на международния термоядрен експериментален реактор. Бланкетния модул е направен от аустенитна стомана ANSI 316 L(N). Дадена е информация за заваряването на канали, покрити с топло-отводен блок с дебелина 5 до 10 mm и поддържаща структура от първата стена. Разглежда се патентована конструкция на канавка в корневата част на съединяваните челно каналови покрития и е демонстрирана възможност за електроннолъчево заваряване на надлъжни тежко-натоварени съединения с дебелина 140 mm в екраниращия блок в тесен процеп. Резултати от електроннолъчево заваряване на разнородни метали – аустенитна стомана от типа316 L(N) с бронз CuAl10Ni5Fe4 30 mm дебел са представени също.

Introduction Development power engineering in future

associated with the creation of fusion power plants. The most significant project in this area is creation of the International Thermonuclear Experimental Reactor - ITER. Considered in this paper features of creation welds elements of the shield block and the supporting structure of the ITER module blanket.

Blanket module ITER construction. Blanket module consists of 440 modules (Fig. 1)

located on the inner surface of the toroidal vacuum chamber and perform following functions: neutron and heat protection of the vacuum chamber and the coils of the magnetic field from the impacts of a thermonuclear plasma; forms a first safety barrier; provides heat removal from the metal structures in all modes of operation of the plant; supports plasma filament stabilization; organizes various diagnostic systems.

Each blanket module (BM) (Figure 2) consists of Fig. 1. ITER vacuum chamber sector with blanket modules (BM).

Page 20:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 82

EBW of Stainless Steels and ODS Ferritic Steel

Petr Havlík, Pavel Šohaj, Jan Kouřil, Rudolf Foret, Ivo Dlouhý

Dissimilar welds are the integral part of design in chemical, nuclear and energy industries. One of the most common combinations is the joints between ferritic, austenitic and martensitic steels. These joints provide many advantages at the detriment of the worse weldability. Possible defects in dissimilar welds are caused by different physical properties of welded materials. Choice of electron beam welding (EBW) is achieved the reducing the amount of heat input into the weld and reduce the negative effects of the surrounding atmosphere. Suppression of defects can be done by the right settings of EBW parameters. Another way is right choice of the rolling direction of base materials against the orientation of weld joint, which is most occurs in a materials whose structure has grains with a large ratio length-to-width (e.g. extruded ODS steel). In this work were evaluated four heterogeneous welds of austenitic stainless steel, martensitic stainless steel and ODS ferritic steel, which vary in the used welding parameters and in the orientation of the base materials to the direction of welded joint. Optimization of welding conditions was performed on the basis of structural and hardness measurements.

Електроннолъчево заваряване на неръждаема стомана и феритна стомана (П. Хавлик, П. Сохай, Й. Курил, Р. Форет, И. Длоухи). Заваръчните шевове на разнородни метали са интегрална част от конструирането на химични, ядрени и енергийни инсталации. Една от най-използваните комбинации е съединението между феритна, аустенитна и мартенситна стомана. Тези съединения обезпечават много преимущества при затрудненията на лоша заваряемост. Възможните дефекти при несиметричните шевове са причинени от различните физически свойства на заваряваните материали. С изборът на електроннолъчево заваряване се постига намалено топло-влагане в шева и намаляват негативните ефекти от обкръжаващата среда. Редуциране на дефектите може да се постигне чрез правилният избор на параметрите на заваръчния процес. Друг подход е правилния избор на валцоване на основния материал по отношение на заваръчния шев, който най-често е в материал, структурата на който е със зърна с голямо отношение дължина към ширина (например екструдирана ОДС стомана). В тази работа са оценени четири шевове от аустенитна неръждаема стомана, които са направени с променящи се заваръчни параметри и ориентация на валцоване на базовия материал към направлението на шева. Оптимизация на заваръчните условия е направена на основата на структурни анализи и измерване на твърдостта.

Introduction Material use in applications for the chemical,

nuclear and energy industry is determined by their behavior and resistance under operating conditions. These conditions may be varying in the different stages during process. Present trend in improving the efficiency of these processes is associated with increase in temperature leads to the simultaneous use of conventional and advanced materials. This creates the requirements for heterogeneous welded joints between materials having different chemical composition and physical properties. Disadvantage

of these joints is the frequent presence of defects that may lead to weakening the weld joint and the entire structure [1].

As an example, the substitution of conventional heat resisting steels for advanced oxide dispersion strengthened (ODS) steels such as Fe-Cr-Al steel Incoloy MA 956 (chemical composition is given in Table 1). ODS steels exhibit excellent corrosion resistance at high temperatures due to Cr content above 16 wt. %. Al is added (about 5 wt. %) for further enhancement of high temperature corrosion resistance due to formation of the protective coating. Between other advantages of these alloys belongs

Page 21:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 90

Model-Based Quality Optimization of EBW of steel

E. Koleva, D. Trushnikov, V. Belenkiy, G. Mladenov, S. Angelov, D. Todorov

Experimental results (four series of experiments) for EBW of two types of steel - high strength steel of 38Cr2Ni2Mo type and stainless steel are obtained and used for the estimation of models, describing the dependencies of the geometry characteristics of high-strength and stainless steel welds on the process parameters. Quality characteristics connected with the obtained shape of the weld cross-sections are also defined and estimated. Multi-criteria optimization is considered. Graphycal user interface aiming parameter optimization, helping the operator’s choice of appropriate work regime for obtaining required quality welds, education and investigation of EBW process is developed and presented.

Моделно базирана оптимизация на качеството при електроннолъчево заваряване на стомана (Е. Колева, Д. Трушников, В Беленкий, Г. Младенов, С. Ангелов, Д. Тодоров). Получени са експериментални резултати (четири серии експерименти) за електроннолъчево заваряване на два типа стомана – високоякостна стомана 38Cr2Ni2Mo и неръждаема стомана - и са използвани за оценка на модели, описващи зависимостите на геометричните характеристики на шевовете от високоякостна и неръждаема стомана от параметрите на процеса. Дефинирани и оценени са качествени характеристики, свързани с получената форма на напречните сечения на шевовете. Разгледана е многокритериална оптимизация. Разработен и представен е и графичен потребителски интерфейс, целящ параметрична оптимизация, помощ на оператора при избор на подходящ работен режим за получаване на шевове със зададено качество, обучение и изследване на процеса електронно-лъчево заваряване

Introduction The complexity of the processes occurring at

intensive electron beam interaction with the material in the welding pool and the vaporized treated material hinders the development of physical or heat models for enough accurate prediction of the geometry of the weld cross-section (particularly the weld width and depth), the dimensions of the heat affected zone (HAZ) and adequate electron beam welding process parameter selection. Concrete reason for the lack of adequate prognostication is the casual choice of the heat source intensity distribution, not taking into account the focus position toward the sample surface and the space and angle distribution of the electron beam power density (or at least the distance to the sample surface at constant beam formation conditions). Additional complexity comes from the various trajectories and oscillation parameters possible to apply. Our previous investigations [2] have shown that this approach directed toward given material, despite extending the application of solution of the heat transfer balance equations with the data of considerable number of experiments, results in

prognostication of the weld depth and width only in order of magnitude. Such models are not suitable for the contemporary computer expert system, directed toward the aid for welding installation operator at the process parameter choice. Even less acceptable this approach is for automation of electron beam welding process control.

In two book chapters [1, 2], in a journal article for scientific reviews for mechanical engineering [3] and in three presentations, made at the specialized conferences on Electron Beam Welding (EBW) in St. Petersburg, Russian Federation [4-6], are presented results for applying a thermal model of EBW for heating the sample by a linear moving heat source, as well as the developed in the laboratory and implemented by us statistical approach at prognostication of the geometry characteristics of the obtained welding joints. In the paper [6] an expert computer system helping the operators decision making, applicable for personnel education, as well as for computer control and optimization of the technological process was presented.

Page 22:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 97

Influence of Electron Beam's Oscillations on Weld's Structure Formation of Dissimilar Materials on an Example Steel with

Bronze

Tatiana V. Olshanskaya, Gleb L. Permyakov, Vladimir Y. Belenkiy, Dmitriy N. Trushnikov

The results of metallographic studies of dissimilar materials welds joint's structure are

presented. Welds were obtained from steel (12Х21Н5Т in Russian) and bronze (БрХ-06 in Russian), using EBW with the oscillation of beam (with transverse, and x-shaped trajectory, and beam's splitting into three). It is shown, that the use of beam's oscillations leads to mixing of metals in the weld pool, and formation of welds with strongly expressed inhomogeneous structure by the width. X-shaped oscillations, compared with transverse oscillations, cause more intensive mixing of welded materials. It is leads to the formation of the central part of the weld with more homogeneous mixing of phases during crystallization. Formation of such structures leads to considerable unevenness of weld's hardness by width and depth. Application of techniques of beam's splitting (into three), with concomitant heating of bronze, allows to obtain a homogeneous structure of the weld's metal. That is finely dispersed mechanical mixture of the two systems: 1) eutectic copper-based; 2) mixture of solid solutions based on α-Fe and γ-Fe. Formation of similar structure leads to the equalization of hardness in the weld by width and depth.

Влияние на осцилациите на електронния сноп върху формирането на структурата на шева на различни материали на примера на съединението стомана-бронз (Т. В. Олшанска, Г. Л. Пермяков, В. Я. Беленкий, Д. Н. Трушников). Резултатите от металургическите изследвания на структурата на заваръчни шевове са представени. Съединявани бяха стомана 12Х21Н5Т и бронз БрХ-06 с помощта на ЕЛЗ с осцилации на снопа (с напречни и Х-образни траектории и лъч разделен на три). Показано е, че осцилациите на снопа водят до смесване на метала в заваръчната вана и формиране на шев със силни нехомогенности по ширина на шева. Колебанията на лъча по Х-образна траектория, по сравнение с напречните осцилации причиняват по-интензивно смесване на заваряваните материали. Това води към образуване на централна част на лъча с по-хомогенно смесване на фази по време на кристализация. Формирането на такава структура води до значителна неравномерност на твърдостта на шева по ширина и по дълбочина. Прилагането на техниката на разделянето на снопа на три, със едновременно нагряване на бронза позволява да се наблюдава хомогенна структура на метала в заварявания шев. Това е фино разпределена механична смес на две системи: 1) евтектична базирана на мед; 2) смес на твърди разтвори основаващи се на α-Fe и γ-Fe. Формирането на тази структура води до изравняването на твърдостта на шева по ширина и дълбочина.

Introduction At manufacturing of responsible parts in

mechanical engineering, shipbuilding, aerospace and other industries it is often arises necessity of obtaining welded joints made of high corrosion resistant steel with copper and its alloys. Such products can work in corrosive environments, as at raised or at lowered

temperatures. Depending on the requirements imposed on the properties and conditions of use of products, the most commonly used steel austenitic, austenitic-ferritic and martensitic grades.

Fusion welding of steels with copper alloys is complicated by several factors: significant difference of thermophysical properties; high fluidity copper in the liquid state; low mutual solubility of copper and iron in the solid state; propensity of copper to the

Page 23:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014

103

Electron Beam Welding of Superconducting Cavities Made of Ultra-Pure Niobium

Igar L. Pobal, Siarhei V. Yurevich

Manufacture of superconducting radiofrequency (SRF) cavities for modern accelerators requires ultra-purity niobium. Joining components of such cavities is only possible with the use of electron-beam welding. Investigations on this topic are carried out in the framework of activities of the Joint Institute for Nuclear Research (JINR, Dubna) for the project of the International Linear Collider (ILC). The aim is to manufacture the prototype of 1.3 GHz single-cell niobium SRF cavity.

In this paper the results of study of electron-beam welding of Nb sheets are presented. The analyses of important characteristics of the material (including residual resistance ratio (RRR), chemical composition, mechanical properties) from different niobium manufacturers were done. The modes for EBW of Nb sheet 2.8 mm thick were explored. Geometry of welds, macro- and microstructure, microhardness and superconducting characteristics of welded joints were investigated. The method of chemical treatment of niobium prior to welding was also elaborated.

Електроннолъчево заваряване на свръх-проводящи резонатори, направени от свръх-чист ниобий (И. Побал, С. Юревич). Производството на свръх-проводящи радиочестотни (СРЧ) резонатори за модерни ускорители изисква свръх-чист ниобий. Съединяването на компонентите на такива резонатори е възможно само с електронно-лъчево заваряване. Изследването е направено в рамките на провежданите работи от Обединения Институт по Ядрена физика в Дубна за проекта на Международния Линеен Колайдер. Целта е да се произведе прототип на 1.3 GHz едноклетъчен ниобиев СРЧ резонатор. В тази работа са представени резултатите от изследването на електроннолъчево заваряване на ниобиеви листове. Данните от анализът на важните характеристики на материала, включително остатъчното отношение на съпротивлението, химическия състав, механичните свойства от различни производители на ниобии са приведени. Изследвани са режими за електроннолъчево заваряване на ниобиеви листове дебели 2,8 мм. Геометрията на шевове, макро- и микро-структурата им, микротвърдостта и свръх-проводящите им характеристики на заварените шевове са изучени. Методите на химическата обработка на ниобия преди заваряване също са изследвани.

Introduction Superconducting radio frequency (SRF) cavities

are the central components of modern linear colliders. It is recognised now that SRF cavities must be fabricated from high purity niobium using deep drawing of half-cells from sheet material 2.8 mm thick and joined by electron beam welding (EBW) in high vacuum. The niobium used for the cavity is highly purified by several remelting cycles in a high vacuum electron beam furnace. Electron beam welding is used to obtain high quality welds that maintain the material high purity required for saving the niobium superconductivity. The EBW parameters must ensure

a full penetration of the joints and smooth weld seam of a few-millimeters width at the inner cavity surface.

Ultra-pure niobium for SRF cavity For production of the SRF cavities a niobium of

exceptional purity is required with a gaseous contamination below 10 ppm and a tantalum content less than 500 ppm. The purity of niobium can be indicated by the residual resistivity ratio (RRR).The RRR is the ratio between the resistances of the material at room temperature (300K) and 4.2K.The standard specification of niobium used for cavity fabrication is the RRR value equal to 300 or higher. The main dissolved impurities that reduce the RRR are shown in Table 1 [1].

Page 24:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 108

Prediction of the Partial Penetration Depth on 15-5PH Materials during Electron Beam Welding

Kamal Frikach, Jamie White, Jeff Houtz

We report in this paper a systematic study for partial penetration of the electron beam welding and its correlation with the beam power as a combination of beam voltage and current, welding speed and working distance. The experimental tests are performed using 15-5 PH stainless steel material at constant welding speed (24 in/min).

The tests are conducted on a 160 kV, 40 mA CVE Electron Beam Welder. To ensure beam quality, the electron beam is probed at different working distances as a function of accelerating voltage and beam current using MK2 modified Faraday Cup that is designed for electron beam diagnosis. The beam focus current that corresponds to the maximum beam density profile at sharp focus is determined accurately. By analyzing the energy density distribution of a given power density profile, a direct measurement of the beam diameter is performed accurately for each beam configuration. The measured beam diameter is used to predict the penetration depth.

The obtained experimental data for beam penetration depth are presented in terms of normalized beam power q/pkT versus dimensionless beam diameter vb/4a, where b is the diameter of the sharply focused electron beam. The show good agreement with the theoretical predictions using combination of the moving line source and the solution for a cylindrical cavity.

Прогноза на частичната дълбочина на проникване в 15-5PH материал при електроннолъчево заваряване (Камал Фрикач, Джейми Уайт, Джеф Хоутз). В тази работа е представено систематично изследване на частичното проникване при електроннолъчево заваряване и неговата корелация с мощността на лъча, като комбинация на ускоряващото напрежение и тока на лъча, скоростта на заваряване и работното разстояние. Експериментите са направени с 15-5 PH неръждаема стомана при неизменна скорост на заваряване (24 in/min). Тестовете са направени при 160 kV, 40 mA CVE електроннолъчева инсталация. За обезпечаване на качество на снопа, е измерен профилът на електронния лъч при различни работни разстояния, като функция на ускоряващото напрежение и тока на лъча, използвайки МК2 модифициран Фарадеев цилиндър конструиран за диагностика на лъча. Тока на фокусиращата система, който отговаря на максималния профил на лъча при остър фокус, е определен точно. Анализирайки разпределението на енергийната плътност на даден профил на плътността на мощност, директното измерване на диаметъра на лъча се постига точно, за всяка конфигурация на снопа. Измереният диаметър на снопа се използва при прогнозирането на дълбочината на проникване. Получените експериментални данни са представени в единиците на нормализираната мощност на лъча q/pkT, в зависимост от безразмерния диаметър на лъча vb/4a, където b е диаметъра на остро-фокусирания електронен сноп. Показано е добро съответствие с теоретичното предсказване, при използване на движещ се линеен източник и решението за цилиндрична празнота (кратер).

Introduction The penetration depth into the welded material is

of high importance in electron beam welding processes and involves number of machine independent variables. Usually extensive testing is required before appropriate welding parameters can be

determined. Determining the welding conditions for beam power, welding speed and beam focus current to achieve a specified penetration depth in a particular material has been studied extensively in the literature [1-8].

Page 25:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 115

ELECTRON BEAM MELTING AND REFINING

Modern Electron Beam Technologies and Equipment for Melting of Metals and Alloys, Deposition of Protective Coatings,

Production of Composites Condensed from Vapor Phase and Powders

M. I. Grechanyuk, A. G. Melnyk, I. M. Grechanyuk, V. G. Melnyk, D. V. Kovalchuk

Report is dedicated to the last achievements of Scientific and Production Enterprise Eltechmash in development of laboratory and industrial equipment for electron beam melting and physical vapor deposition of different materials. Developed equipment is intended for realization of advanced technological solutions in melting of different metals and alloys, refining of Silicon, physical vapor deposition of dispersion-hardened, microlayer and microporous composite materials in plates with diameter up to 1000 mm and thickness up to 10 mm.

Current state of research and development of new thermal barrier coatings, bulk nanocrystalline materials on the base of Copper and Molybdenum for electric contacts and electrodes, powders of high-doped Co-based alloys for plasma coating and other related technologies will be also presented.

Concrete fields of application of presented equipment and technologies will be suggested.

Модерни електроннолъчеви технологии и оборудване за топене на метали и сплави, за отлагане на защитни покрития, производство на композити, кондензирани от пари и за получаване на прахове (М. Гречанюк, А. Мелник, И. Гречанюк, В. Мелник, Д. Ковалчук). Работата е посветена на последните достижения на научно-производственото предприятие Електромаш в разработката на лабораторно и индустриално оборудване за електроннолъчево топене и физическо парно нанасяне на различни материали. Разработеното оборудване е предназначено за реализация на напредничави технологични решения в топене на различни метали и сплави, рафиниране на силиций, физическо парно отлагане на дисперсно-уякчени, микрослойни и микропорести композитни материали във вид на пластини с диаметър до 1000 mm и дебелина до 10 mm. Представено е също сегашното състояние на изследванията и разработките на нови покрития, служещи за термична бариера, обемни нанокристални материали на база на мед и молибден за електрически контакти и електроди, прахове от високо-легирани кобалтови сплави за плазмени покрития и други свързани технологии. Предложени са и конкретни области за приложения на представеното оборудване и технологии.

Introduction Electron beam impact on the metals leading to

their heating, melting and evaporation, as a new technological path in the field of material processing has been intensively developed from the middle of the twentieth century [1, 2].

Now all over the world any industry connected with reception, combination and material processing, does not manage electron beam heating. It is connected to characteristic advantages of this method, main of which - opportunity of concentration of energy from 103 up to 5 · 108 W/cm², i.e. in all range of thermal influence, conducting process in vacuum,

Page 26:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 122

Recent Progress in Development of Gas-Discharge Electron Beam Guns Providing Extension of

Their Technological Capabilities

V. G. Melnyk, I. V. Melnyk, B. A. Tugai, D. V. Kovalchuk

Long operating experience of gas-discharge electron beam guns in different technological processes has allowed to investigate their special behavior features and to determine what must be improved in their design for providing the best operation reliability and extension of their technological applications. Appropriate theoretical and experimental R&D works were performed for this purpose.

Engineering upgrade of gas-discharge electron beam guns of BTP family with power from 60 kW to 450 kW was provided by these works resulting in better consistency and reliability of guns operation in extended limits of operating conditions. Gas-discharge electron beam gun BTP-600 with maximum power 600 kW and accelerating voltage 40 kV was developed for the first time also on the base of performed R&D works.

Последни усъвършенствания в разработката на газоразрядни електронни пушки, обезпечаващи разширение на технологичните им възможности (В. Мелник, И. Мелник, Б. Тугай, Д. Ковалчук). Дългогодишният опит с газоразрядни пушки в различни технологични процеси позволи да се изучат особеностите на тяхното специално поведение и да се определи какво трябва да се подобри в конструкцията им за обезпечаване на по-добра работна надеждност и разширение на техните приложения. За тази цел бяха изпълнени подходящи теоретични и експериментални изследвания и разработки. Бяха направени инженерни подобрения на газоразрядните електронни пушки от фамилията БТП с мощност от 60 kW до 450 kW и резултатът е по-добра съгласуваност и надеждност на работата на пушката в по-широки граници на работните условия. Газоразрядни пушки БТП 600 с максимална мощност 600 kW и ускоряващо напрежение 40 kV бяха разработени за първи път също на базата на изпълнените изследвания и разработки.

Introduction Gas-discharge electron beam guns are covering

more and more wide application in different industries – mainly thanks to their ability of stable operation in hard vacuum conditions which are typical to number of technological processes. Our own experience of operation of gas-discharge electron beam guns of BTP type with power range 60-450 kW during last decade has confirmed suitability and availability of their usage in such industrial applications as electron beam melting of titanium, tantalum, niobium, molybdenum, electron beam refining of silicon, specific kinds of electron beam welding, EB PVD processes as well [1, 2].

Fig.1. Gas-discharge EB guns BTP-100 (100 kW), BTP-300

(300 kW) and BTP-450 (450 kW)

Page 27:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 126

Effects of Beam Patterns on Removal of Phosphorous in Silicon by Electron Beam Melting

Shuang Shi, Yi Tan, Dachuan Jiang, Wei Dong, Shutao Wen

A heat transfer model for Si refining by electron beam melting is proposed to obtain the temperature distribution of the molten Si. The results show that the temperature distribution is extremely inhomogeneous, especially on the molten surface. Based on the temperature distribution, the evaporation rates of P and Si are also discussed and the corresponding experiment was carried out to be compared with the theoretical calculation. The results show that the evaporation rates of P and Si reduce and the ratio of the evaporation rate of P to Si increases with the increasing of the electron beam pattern radius. A critical molten pool with a large surface area exists when the pattern radius reaches to a certain value, which is considered to be the optimal pattern radius due to a relatively high removal efficiency of P and a low loss efficiency of Si.

Влияние на лъча върху отстраняването на фосфор в силиций чрез електроннолъчево топене (С. Ши, И. Тан, Д. Жианг, У. Донг, С. Уен). Предложен е модел за топлопренасянето при рафиниране на силиций за получаване на температурното разпределение на разтопения силиций. Резултатите показват, че температурното разпределение е изключително нехомогенно, особено на разтопената повърхност. На база на температурното разпределение са дискутирани също скоростите на изпарение на фосфор и силиций и е проведен съответстващ експеримент за сравнение с теоретичните изчисления. Резултатите показват, че скоростите на изпарение на фосфор и силиций намаляват и отношението на скоростта на изпарение на фосфор към тази на силиций се увеличава с увеличаване на радиуса на лъча. Съществува критична течна вана с голяма повърхност, когато радиуса стига до определена стойност, който се приема за оптимален радиус, благодарение на сравнително голямата ефективност на отстраняване на фосфор и на малките загуби на силиций.

Introduction In recent years, the requirement for solar-grade

silicon increases dramatically with the rapid development of the photovoltaic industry[1-3]. As one of the major impurities, P deteriorates the electrical properties of silicon materials, such as electrical resistivity and minority carrier lifetime. Therefore, it needs to be removed to less than 1×10-5 wt.%, to meet the performance requirement of solar cells.

Electron beam melting has been applied in purification of Si materials, which has been proved to be an effective method to remove volatile impurities[4-9]. During electron beam melting process, pattern radius, defined as the radius of electron beam scanning track, is an important factor that affects the state of the molten pool. Different pattern radiuses lead to different temperature and surface area at a certain power, so as to influence the

evaporation rate. However, the surface temperature of the molten pool is difficult to measure directly due to the characteristic of local high energy of electron beam melting, which is always roughly calculated by the loss of Si. So far, only few reports on the temperature distribution of the molten pool and the corresponding removal rate of P during electron beam melting are available to date.

In this paper, a model for Si refining by electron beam melting is proposed to obtain the temperature distribution in the molten silicon, especially on the surface of the molten pool. Based on the results, the evaporation rates of P and Si are also calculated to evaluate the removal efficiency of P and the loss of Si. Moreover, the optimal pattern radius at a certain electron beam power is discussed.

Mathematical model development A cylindrical ingot is considered in the

mathematical model to obtain the temperature

Page 28:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 132

Economic and Conservative Numerical Scheme for Non-Stationary Heat Model for EBMR

Veliko Donchev, Katia Vutova, Tatiana Chernogorova

Economic and conservative numerical method is proposed for discretization and numerical simulation of non-stationary heat model concerning electron beam melting and refining (EBMR) of metals. The axis-symmetric problem is decomposed into two locally one-dimensional problems. For the two problems, implicit and absolutely stable schemes are built for which the decomposition method gives rate of convergence of order one for both the space and time variables. The obtained discrete problems lead to linear systems of equation with three-diagonal matrixes which are solved via Thomas method. Proposition for the stability and realization of Thomas method is proved for one of the two one-dimensional problems. Criteria, related to the geometry of the crystallization front, for improvement of the quality of the obtained material after EBMR are discussed. Approaches for discretization of the criteria over the numerical solution of the model are proposed. Comparison between experimental and simulation results is made and good correspondence is observed. Applying the developed numerical scheme and criteria, optimization of the EBMR of copper ingots is made. Results for the best technological regime parameters according to the chosen criteria for the investigated ranges of the beam power and the beam radius are given.

Икономичен и консервативен числен метод за нестационарен тополинен модел за ЕЛТР (Велико Дончев, Катя Вутова, Татяна Черногорова). Предложен е икономичен и консервативен числен метод за симулация на нестационарен тополинен модел за електронно-лъчево топене и рафиниране (ЕЛТР) на метали. Радиално симетричният модел е сведен до два локално едномерни проблема. За тях са построени неявни и абсолютно устойчиви числени схеми, за които локалният метод дава първи ред на сходимост по пространствените и времевата променливи. Получените дискретни проблеми водят до линейни системи с тридиагонални матрици, които се решават с метода на дясната прогонка. Доказано е твърдение за реализуемост и устойчивост на едната от двете локално едномерни задачи. Дискутирани са оптимизационни критерии за подобряване на качеството на получения метал, свързани с геометрията на кристализационния фронт. Направено е сравнение между експериментални и симулационни данни и е наблюдавано добро съответствие. Разработената числена схема и оптимизационни критерии са приложени за ЕЛТР на медни шайби. Съобразно избраните критерии са направени препоръки за оптимизиране на технологията при изследваните режими.

Introduction Despite the development оf Electron Beam

Melting and Refining (EBMR) technologies, a lot of unsolved problems still exist. For optimization and improvement of the technology, the knowledge of the temperature in the treated metal ingot is crucial. However, real time measurement of the temperature in the metal ingot, especially in the molten pool is hard to achieve. Therefore mathematical modeling of the thermal processes is essential for the improvement of EMBR technologies. In [1] non-stationary axis-symmetrical heat model with a

corresponding Pismen-Rekfort numerical method is proposed. Using the model [1], optimization problems are proposed and EBMR is optimized via developed criterion for achieving flatness of the molten pool and uniform impurities’ displacement in the metal ingot [2, 3]. In this paper the mathematical model proposed in [1] is treated by economic and conservative locally one-dimensional method in which the influence of the thermo-physical properties of the metal is more precisely taken into account. Another advantage of this method is that it can easily be continued to 1+3 dimensional method.

Page 29:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 138

Electron Beam Melting and Recycling of Nickel

Vania Vassileva, Katia Vutova, Veliko Donchev, Alexander Stoimenov, Dinesh Amalnerkar, Nagegownivari Munirathnam

Experimental and theoretical investigations of the process parameters at electron beam melting and refining (EBMR) of nickel samples with the purpose of improving the composition of the performed ingots are presented and discussed. Dependencies of the purification for different inclusions on the important technological parameters (e-beam power, refining time, etc) are obtained and the achieved purification degree is 99.5 %.

Електроннолъчево топене и рециклиране на никел (Ваня Василева, Катя Вутова, Велико Дончев, Александър Стоименов, Динеш П. Амалнеркар, Нагеговнивари Муниратнам). Направено и дискутирано е експериментално и теоретично изследване на технологичните параметри при електроннолъчево топене и рафиниране (ЕЛТР) на никел с цел подобряване на химичния състав на изследваните слитъци. Получени са зависимости за рафинирането на различни примеси от важни технологични параметри (мощност на електронния лъч, време за рафиниране и др.). Получената степен на рафиниране е 99.5%.

Introduction Nickel is a metal suitable for producing special

alloys with good mechanical, anticorrosion, magnetic and thermoelectrically properties that are preserved at very low temperatures. Nickel alloys have also useful electronic and special magnetic properties. Those unique characteristics allow nickel and its alloys to have different applications. For example they are used in production of gas turbines, nuclear reactors, special chemistry apparatuses, vacuum devices and alkaline batteries. Nickel is appropriate for anticorrosion coverage and catalyzers.

Bulgaria is not a traditional producer of nickel due to lack of raw material. Years ago some non-ferrous metals enterprises in Bulgaria produced nickel in limited quantities as a concomitant procedure in production of other non-ferrous metals. In the recent years, due to a discontinued operation of large metallurgical plants and closing of entire sectors of the Bulgarian economy such as electro-vacuum and electronics manufacturing, there are accumulated significant amounts of metal and metal-containing wastes, which are expensive and strategically important. These are pure metals with valuable and unique properties the recycling and reuse of which became more imperative. There is a significant amount of nickel scrap with low concentrations of

impurities - waste from the electro-lamp manufacture, which can be recycled and reused.

Among the modern metallurgical methods the electron beam method (EB) for melting and refining in vacuum [1-4] has proven its advantages as effective, ecological and energy saving opportunity for recycling and processing of waste metals with unique properties and their reuse. One advantage of the method is that it effectively enough can be applied for refining of metals with a low content of impurities. Conditions for further refining of pure metals are much more specific and differ significantly from the conditions for the refining of metals with high content of impurities due to the specificity and diversity of concurrent refining processes.

This work presents the results of studies and analysis of the thermodynamic and kinetic conditions for the recycling of waste nickel (strips and screens from automobile lamps) with low content of impurities by electron beam melting and refining (EBMR) in vacuum.

Experiments: experimental conditions of EBMR of nickel

Two series of experiments on electron beam melting and refining were carried out using installation ELITE-60 in the laboratory "Physical problems of electron beam technologies" at the Institute of Electronics, Bulgarian academy of

Page 30:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 144

Structure and Properties Management of Cast α-Titanium Alloys, Produced by Electron Beam Skull Melting with Electromagnetic

Stirring

Mikhail M. Voron, Alexander N. Doniy _______________________

The influence of technological parameters of α-titanium alloys melting, obtained under EBSM+EMS technology was considered from the point of their structure and properties formation. Two-stage experiment with further data processing was done. The whole process consisted of experimental melting of pure Ti and Ti-Al alloys under different technological modes, data correlation analysis and regression analysis. As the result, it was discovered, how different technological parameters and their values can affect on structure parameters and hardness of cast titanium and some Ti-Al alloys. Corresponded mathematical models of materials structural parameters and hardness were built on the basis of experimental data.

Mechanical properties of cast pure titanium and commercial Ti-Al alloys were also investigated, and it was proved, that EBSM+EMS technology is useful for obtaining titanium alloys in the form of finished and semi-finished products, which can have mechanical properties that are not inferior to deformed. Besides all, it was shown, that EBSM+EMS allows to create conditions, under which structure and properties control could be obtained and realized.

Контрол на структурата и свойствата на ляти α-титанови сплави, получени с електроннолъчево топене в собствена кора с електромагнитно разбъркване (Михаил Ворон, Александър Доний). Влиянието на технологичните параметри на топене на α-титанова сплав, получена чрез електроннолъчево топене в собствена коричка с електромагнитно разбъркване (EBSM+EMS) е разгледано от позицията на формиране на структура и свойства. Двуетапен експеримент със следваща обработка на данни е изпълнен. Извършени бяха експериментално топене на чист Тi и Ti-Al сплави при различни условия, анализ на корелацията на данни и регресионен анализ. Като резултат бе изучено влиянието на различни технологични параметри и техните стойности на структурнитепараметри и на твърдостта на лятия титан и на Ti-Al сплави. На тази основа съответни математични модели са построени.

Механичните свойства на лят чист титан и комерсиални Ti-Al сплави са изследвани също, и бе намерено че EBSM+EMS технологията е полезна за получаване на титанови сплави в вид на завършени и полу-завършени продукти, които могат да имат механични свойства, които не са подходящи за следваща деформация. Освен това, показано е, че EBSM+EMS позволява да се подбират условия, в които управление на структурата и свойствата може да се прави.

Introduction Nowadays, there are many difficult requirements

to some modern materials and their production technologies. Titanium and its alloys, for example, belong to amount of widely used and expensive materials with wide field of applications, but there are only few main technologies of their production are well-developed. They are mainly intend for casting big ingots for further multistage deformation,

thermal treatment and machining [1]. It’s obvious, that the price of finished product is that higher that longer is the technological chain, which, in turn, depends on difference between sizes of casted ingot and finished detail.

Such circumstances create conditions for developing new casting and treatment technologies for Ti-based alloys. The main objective of such technological development bases on reduction of price and increasing the properties of finished

Page 31:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 150

Decomposition of a Vegetative Biomass by Electron-Beam Irradiation and Heating

А. V. Ponomarev, P. K. Metreveli, A. K. Metreveli, A. V. Bludenko, V. N. Chulkov

The electron-beam irradiation influences thermal decomposition of vegetative biomass. This influence is indicated by several effects. First, the initial thermal degradation temperature of both lignin and cellulose decreases. Secondly, the irradiation attenuates formation of wood charcoal and semi-chared compounds. In the third, the fraction of liquid organic products increases in distillate driven away. These effects result from radical processes initiated by radiation. In comparison with initial molecules the thermal stability of radicals is lower. The radicals originated both from cellulose and from lignin are involved into chain reactions. For example, chain decomposition of cellulose includes dehydration, decarboxylation and release of furan molecule simultaneously with reproduction of shorter radical capable to similar chain decomposition. Destruction of biomass under simultaneous influence by radiation and heat demands a smaller dose than post-radiation pyrolysis.

Декомпозиция на растителни биомаси с електроннолъчево облъчване и нагряване (А. Пономарев, П. Метревели, А. Метревели, А. Бледенко, В Чулков). Електронното облъчване влияе на термичната декомпозиция на растителни биомаси. Това влияние се проявява чрез няколко ефекта. Първо, първоначалните температури на термична деградация на лигнина и целулозата намаляват. Второ, облъчването забавя формирането на дървени въглища и полу-овъглени съединения. Трето, частта на течни органични продукти нараства в отведения дестила. Тези ефекти са резултат на радикалните процеси, започнати от радиацията. В сравнение с първоначалните молекули термичната стабилност на радикалите пада. Радикалите, произхождащи от целулоза и от лигнин са замесени във верижни реакции. Например, верижната декомпозиция на целулозата включва дехидриране, декарбиксилация и освобождаване на фюранови молекули, едновременно с репродукция на къси радикали, способни на подобна декомпозиция на веригите. Деструкцията на биомаси под едновременно влияние на облъчване на радиация и топлина изисква по-ниски дози отколкото при след-радиационен пиролиз.

Introduction The lignocelluloses containing in municipal and

industrial wastes are subject to processing and re-using. The high-temperature conversion of lignocelluloses is considered quite a promising process for producing various chemicals and fuel. Consequently, a search for the most productive conditions of the thermolysis of biomass in order to obtain valuable products in high yields is a problem of considerable current interest.

Earlier, it was shown that ionizing radiation affects considerably the molecular-weight distribution of cellulose and lignin [1, 2]. Various versions of a combination of radiation and thermal actions can be considered. As recently it has been shown [1], high temperature radiolysis of wood-biopolymers results in

effective chain process of organic liquid and gases formation. These results displayed, that electron-beam processing of lignocelluloses can play a progressive role in creation and upgrading of actual technologies of a vegetative biomass conversion.

The present work deals with analysis of the mech-anism of chain destruction of cellulose and lignin. Processes of pyrolysis and radiolytic decomposition are compared.

Experimental Experiments were performed using the 8 MeV

linear accelerator UELV-10-10 T (6 µs pulses with frequency 300 Hz, mean beam current 0.8 mA, beam scanning angle ±17°, and scanning frequency 1 Hz). For conventional distillation (i.e., pyrolysis), a vessel with sample was inserted into preheated muffle oven

Page 32:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 157

The Electron-Beam Treatment of Water Polluted by Humic Acids and Lignin

Polina K. Metreveli, Alexandra K. Metreveli, Alexander V. Ponomarev

Both lignin and humic acids cause the raised colourity and turbidity of natural water and industrial wastewater. Electron-beam treatment reduces kinetic stability of aqueous dispersions of lignin and humic acids. The radiation-induced coagulation takes place because of a recharge of micelles, recombination and addition of macroradicals to nonsaturated macromolecules, and also because of mechanical capture of small molecules by a coarsen aggregates. However coagulation depends on a ratio of a thickness of water layer to depth of electron beam penetration. The maximum coagulation takes place on depths smaller than range of the accelerated electrons. Excess negative charge is temporarily acting in an area of final deceleration of electrons. This uncompensated charge interferes with coagulation of negatively charged micelles. The high absorbed dose also interferes with discoloration of water because of increase in fraction of soluble products of macromolecules decomposition.

Електроннолъчево третиране на вода, замърсена с хумусни киселини и лигнин (П. Метревели, А. Метревели, А. Паномарев). Двете съставки - лигнин и хумусни киселини причиняват нарастване на оцветяването и помътняването на естествената вода и индустриалната отпадъчна вода. Електроннолъчевото облъчване намалява кинетичната стабилност на водните дисперсии на лигнин и хумусни киселини. Индуцираната от радиацията коагулация започва поради презареждането с мицели, рекомбинация и добавяне на макрорадикали към ненаситените макромолекули, а също поради механично захващане на малки молекули от големи груби агрегати. Обаче коагулацията зависи от отношението на дебелината на водния слой и дълбочината на електронното проникване. Максимална коагулация има място при дебелини по-малки от пробега на ускорените електрони. Излишния негативен заряд е временно действащ в областта на крайното забавяне на електроните. Този некомпенсиран заряд взаимодейства с коагулацията на отрицателно заредените мицели. Големи дози на адсорбция също взаимодействат с обезцветяването на водата, защото нараства частта на разтворими продукти при макромолекулната декомпозиция.

Introduction Colloidal solutions and coarse suspensions are

radiation-sensitive. The phenomenon is of interest of electron-beam technologies for purification of natural water and industrial wastewater contaminated by dispersed organic compounds [1, 2]. In many cases superficial water has increased color and turbidity due to humic compounds and lignin, which is rather characteristic of river and lake water of northern regions of Russia [3], as well as of other surface waters [4]. The compounds often come with water from environing bogs or are dispersed from wood bottom sediment formed as a result of timber rafting, additional contamination results from activity of paper mills and other industrial enterprises. Conventional

water treatment based on continuous-flow filtering and chlorination does not often remove the color caused by ultra-dispersed phytogenous matter, one of results of which is deposit formation on inner surface of water pipeline systems.

Since electron accelerators are proposed to be the most actual sources of ionizing radiation in water treatment technologies, in the present work an attempt has been made to estimate specific action of electron irradiation on stability of aqueous dispersions of humic acids (HA) and lignin. Special attention was paid to influence of irradiation conditions on the process of natural organic matter coagulation, namely, to the effect of relationship between effective penetration of incident electrons and thickness of irradiated matter layer.

Page 33:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 163

Electron Beam Technology for Environmental Conservation

Bumsoo Han, Jinkyu Kim, Yuri Kim, SeungTae Jung

By rapid economic growth, fast urbanization and enhanced industrial activities all of which add to the degradation of the environmental quality. The environmental pollution caused by industrial discharges and urbanization, among others, has becoming an acute problem in developing cities in the world. In the current prospect, the problem is projected to be amplified in the future if suitable mitigation measures would not be taken. The efficient treatment of pollutants discharged in various form and contents from the human activities could be an important contribution to the mitigation of the environmental quality management in the world. Over the last few decades, extensive work has been carried out on utilizing radiation technology for environmental remediation. This work includes the application of radiation technology for simultaneous removal of SOx and NOx from the flue gases, purification of drinking water, wastewater treatment and hygienization of sewage for use in agriculture. It is becoming increasingly clear that humankind’s environmental problems are no longer merely local or regional, but have become continental in scope. Economically and technically feasible technologies for controlling pollution from gaseous emissions and liquid effluent streams are being sought by technologists working in a variety of areas, including radiation technologists.

Електроннолъчева технология за съхранение на околната среда (Б. Хан, Ж. Ким, Ю. Ким, С. Джунг). Бързите икономически растеж, урбанизация и индустриална активност имат принос в деградирането на качеството на околната среда. Атмосферното замърсяване причинено от индустриалните източници и урбанизацията, наред с останалото стават остър проблем в напредналите градове в света. В сегашната перспектива се очаква проблемът да се усили в бъдеще, ако не се предприемат подходящи действия за намаляването му. Ефективна обработка на изпускането на замърсители в разнообразни форми и съдържания от човешката дейност може да бъде важен принос към мениджмънта на качеството на околната среда в света. През последните десетилетия интензивни резултати бяха получени чрез използването на радиационни технологии за възстановяване на околната атмосфера. Тази работа съдържа прилагането на радиационните технологии за едновременно отнемане на SOx и NOx от дима, очистване на вода за пиене, обработка на отпадъчни води и хигиенизиране на сточните води за използване в селското стопанство. Става все по ясно, че човешките екологични проблеми не са просто локални или регионални, но стават континентални по обхват. Икономически и технически възможни технологии за управление на замърсяването с газови емисии и течен изтичащ слой са търсени от технолозите, работещи в различни области, включително радиационните технологии.

Introduction The problems of environmental damage and

degradation of natural resources are receiving increasing attention throughout the world. The increased population, higher living standards, increased urbanization and enhanced industrial activities of humankind are all leading to degradation of the environment. Increasing urbanization has been accompanied by significant water pollution. Industrial activities to produce heat and electrical energy are responsible for emitting a large number and amount of

pollutants, such as fly ash, sulphur oxides (SO2 and SO3), nitrogen oxides (NOX = NO2 + NO) and volatile organic compounds, into the atmosphere.

Electrons interact with gas creating divergent ions and radicals including oxidizing radicals and excited species. These excited species react in a various ways of neutralization reactions and dimerization. The removal of SO2 using an electron was demonstrated in 1970 -1971. Irradiation of flue gas resulted in the conversion of SO2 to an aerosol of sulphuric acid droplets that were easily collected [1]. Ebara Co. used an electron accelerator (0.75 MeV, 45 kW) to convert

Page 34:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 168

Tendency of the Development of DC Type ELV Accelerators for Industrial Application and Research Experiments

N. K. Kuksanov, Yu. I. Golubenko, P. I.Nemytov, R. A. Salimov, S. N. Fadeev, A. I. Korchagin, D. A. Kogut, E. V. Domarov, A. V. Lavruchin, V. G. Cherepkov,

V. A. Semenov

ELV accelerators are D.C. machines. They were designed and manufactured by Budker Institute of Nuclear Physics of Siberian Branch of Russian Academy of Science. These machines are well known in the world. They are operating from Germany in West to Indonesia and Malaysia in East. Main application of these accelerators is the treatment of polymers. Accelerators for the polymer treatment are equipped with the foil window extraction device. Some kinds of ELV accelerators were equipped with the device for focused beam extraction into atmosphere. It allows the treatment of material with a high beam power density under atmosphere pressure.

New development of ELV accelerators is concerning the low energy range and design of self-shielded accelerators. There are the set of self-shielded accelerators. The lowest energy is 150 - 200 keV. These machines are unified with usual ELV accelerators and extend their application area.

Тенденции на разработката на правотокови електронни ускорители за индустриални приложения и изследователски експерименти (Н. Куксанов, Ю. Голубенко, П. Немитов, Р. Салимов, С. Фадеев, А. Корчагин, Д. Когут, Е. Домаров, А. Лаврухин, В. Черепков, В. Семенов). ЕЛВ ускорителите са правотокови машини. Те бяха разработени и произведени в Института по ядрена физика „ Будкер“ на Сибирското отделение на РАН . Тези машини са добре познати в света. Те се използват от Германия на Запад до Индонезия и Малайзия на Изток. Главното приложение на тези ускорители е обработка на полимери. Ускорителите за обработка на полимери се екипират с изходно устройство на снопа във вид на фолиен прозорец. Някой видове ЕЛВ ускорители имат устройство за екстракция на фокусиран лъч в атмосферата. Те позволяват обработка на материали с висока плътност на мощност на лъча при атмосферно налягане. Новите разработки на ЕЛВ ускорители са в областта на ниско енергийната област и самоекраниращите се ускорители. Има една серия от само-екраниращи се ускорители. Най-ниската енергия е 150-200 keV. Тези машини са унифицирани с обикновените ЕЛВ ускорители и разширяват тяхната област на приложения.

Introduction Radiation-chemical technology with the use of

electron accelerators as the sources of ionizing radiation had been widely developed. Budker Institute of Nuclear Physics of the Siberian Branch of Russian Academy of Sciences is one of the world leaders in the development, design, production and delivery to the industry of electron accelerators of different types (i.e. continuous accelerators based on high-voltage rectifier, high frequency, pulse, etc.), covering a wide range of accelerated electrons energy and power. ELV accelerators hold a specific place in the range of equipment manufactured by the Institute. Compact dimensions and high operational

qualities have allowed BINP take a leading position in the market of industrial accelerators, both in Russia and abroad. The ELV accelerators series has the range of accelerated electrons energy from 0.3 to 2.5 MeV, maximum beam power for separate machines from 20 to 100 kW and maximum beam current up to 100 mA. The special accelerator was designed and manufactured for ecological and research purposes with a beam power 400 kW.

Development of ELV accelerators family ELV accelerators high voltage source is a

generator with a cascade of parallel inductive links. НV rectifier column is installed inside the primary winding. The primary winding is powered by a

Page 35:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 174

Surface Alloying of Titanium Alloys with Refractory Elements by Non-Vacuum Electron-Beam Processing

A. Bataev, M. G. Golkovskii, N. K. Kuksanov, A. A. Ruktuev, V. V. Samoilenko, I. A. Polyakov, A. A. Bataev

Alloys of titanium with refractory elements such as tantalum, niobium, hafnium, zirconium, and

molybdenum have attracted increased attention of experts in various fields. Two- and three-component Ti-X and Ti-X-Y alloys (where X, Y = Ta, Nb, Hf, Mo, or Zr) are considered as promising materials for the chemical industry, nuclear power industry, and biomedicine. In this paper, we propose a new approach to producing cost-effective corrosion-resistant titanium alloys based on non-vacuum electron-beam cladding of plates of titanium alloys with the above-mentioned elements. It is shown that the proposed technology allows the production of high-quality alloyed layers with thickness up to 2 mm in a single pass of the electron beam. In some cases, the corrosion resistance of such coatings in nitric acid is 200 times higher than the corrosion resistance of pure titanium and is comparable to that of pure tantalum.

Повърхностно създаване на титанова сплав с труднотопими елементи чрез извън-вакуумна електроннолъчева обработка (И. Батаев, М. Голковски, Н. Куксанов, А. Руктуев, В. Самоиленко, И. Поляков, А. Батаев) . Сплав на титан с труднотопими елементи - такива като тантал, ниобий, хафний, цирконий и молибден получават все повече внимание от експерти от различни области. Дву- и три-компонентни Ti-X и Ti-X-Y сплави (където X, Y = Ta, Nb, Hf, Mo, или Zr) се разглеждат като обещаващи материали за химическата индустрия, ядрената енергетика и биомедицината. В тази работа ние предлагаме нов подход за получаване на евтини корозионно-устойчиви титанови сплави на основа на извън-вакуумна електроннолъчева обработка на листове от титанови сплави с горе-споменатите елементи. Показано е, че предлаганата технология позволява да се произвеждат висококачествени сплавени слоеве с дебелина до 2 мм при едно минаване на електронния сноп. В някои случаи корозионната устойчивост на такива покрития в азотна киселина е 200 пъти по-висока от корозионната устойчивост на чист титан и е сравнима с тази на чист тантал.

1. Introduction Titanium alloys with refractory elements such as

tantalum, niobium, hafnium, zirconium, and molybdenum have attracted increased attention of experts in various fields. As a rule, these alloys have high strength properties and better corrosion resistance than pure titanium. For this reason, two- and three-component Ti–X and Ti–X–Y alloys (where X, Y = Ta, Nb, Hf, Mo, or Zr) are considered as promising materials for the chemical industry, nuclear power industry, and biomedicine. It has been shown, for example, that a Ti–30% Ta alloy has almost the same corrosion resistance as pure tantalum [1]. Ti–5% Ta–1.8% Nb alloy studied by Raj et al. [2], was recommended for use in the nuclear power industry. It should be noted that the Ta, Nb, Hf, Mo, and Zr are

biocompatible materials, and are therefore widely used in the development of a new generation of alloys for medical applications [3].

Among the disadvantages of these alloys are their high melting point and active interaction between the metal melt and atmospheric oxygen. Production of these alloys requires the use of expensive vacuum furnaces or furnaces with inert gas atmosphere. It should also be noted that the cost of elements such as Ta, Nb, and Hf far exceeds the cost of titanium. Thus, from an economic point of view, the production of these alloys is of interest only in a limited number of cases.

In this paper, we propose a new approach to producing corrosion-resistant titanium alloys based on non-vacuum electron-beam cladding of plates of titanium alloys with the above-mentioned

Page 36:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 178

Experience of 30 Years Operation of EB Treatment Installations at “PODOLSKKABEL” Plant

Nikolay K. Kuksanov, Alexander I. Rojkh, Mikhail N. Stepanov

Technology of radiation modification of cable and wire insulation had found wide application in industries. The use of these technologies enabled to develop the manufacture of a wide range of wires, cables and heat-shrinking goods for different markets (power plant, telecommunications, electronics, oil industry, nuclear power plant, submarine and aircraft, etc). All of them are of high reliability, when being mounted and during operation as under standard and extreme operating conditions. The quality of radiation treatment depends on accelerator itself as well as on under-beam, pay off and take up equipment. The EB treatment of cable insulation in PODOLSKKABEL was started in 1972. This plant was one of the first industrial plants that used electron accelerators in former USSR. During the operation both accelerators and technology were being continuously modified. Now it is equipped with the most modern technology for EB crosslinking of polymers. It is equipped with 2 ELV accelerators with 4-side irradiation systems and maximum energy 1.5 and 2.5 Mev, 2 under-beam transportation system and 6 pay off and take up technological complexes. It enables to treat the cables with core from 0.12 to 120 mm2 for different purposes.

Опит от 30-годишното използване на електроннолъчева инсталация в завода „Подолсккабел“ (Н. К. Куксанов, А. И. Рожкх, М. Н. Степанов). Технологията на радиационна модификация на изолацията на кабели и проводници намира широко приложение в индустрията. Използването на тези технологии позволява разработката и производството на широк клас от проводници, кабели и топло-свиващи се изделия за различни пазари (електростанции, телекомуникации, електроника, петролна индустрия, ядрени електростанции, подводници и самолети, и др.) . Всички са с висока надеждност, когато са монтирани и работят в стандартни и екстремни условия. Качеството на радиационната обработка зависи от самия ускорител и на останалото осигуряващо обработката оборудване. Електроннолъчевата обработка на изолацията на кабели в „Подолсккабел“ започна през 1972. Този завод бе първият в бившия СССР, който индустриално приложи електронни ускорители.

По време на работа двата ускорителя и технологията бяха постоянно модифицирани. Сега те са екипирани с най-модерната технология за електроннолъчево съшиване на полимери. Заводът има 2 ЕЛВ ускорители с 4-странно облъчваща система, и с максимална енергия 1.5 и 2.5 MeV, 2 транспортни системи за движение на проводниците под лъча, 6 автоматизирани допълващи комплекси. Те позволяват облъчване на кабели с проводник от 0.12 до 120 mm2

за различни цели.

Introduction The crosslinking technologies are applied very

widely in industries. While the improved maximum operating temperature was one of the initial attractions of crosslinking, there are other important product advantages being the results of polymers’ crosslinking, such as: reduced deformation under load, improved chemical resistance, increased

abrasion resistance, improved impact properties, memory characteristics. At present the electron-beam technologies are extensively used in a cable industry for cross-linking of insulation made on the basis of polymer compositions. The use of these technologies enabled to develop the manufacture of a wide range of wires, cables and heat-shrinking goods for different markets (power plant, telecommunications, electronics, oil industry, nuclear power plant,

Page 37:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 183

THIN FILMS, BULK MATERIAL DEPOSITION, MODIFICATION OF SOLID SURFACES

Gas discharge electron sources –

Proven and novel tools for thin-film technologies

Goesta Mattausch, Burkhard Zimmermann, Fred Fietzke, Jens-Peter Heinß, Benjamin Graffel, Falk Winckler, Frank-Holm Roegner, Christoph Metzner

Gas discharge-based electron sources represent high-power and low-cost tools for a variety of processes regularly required in vacuum high-rate coating. Here, the fields of substrate pre-treatment, electron beam generation for materials evaporation, plasma activation in PVD, and post-treatment steps are of particular concern. In this paper, some of Fraunhofer FEP’s recently refined as well as novel tools shall be reviewed. These include low-voltage electron beam sources utilizing hollow-cathode arc discharges, high-voltage glow-discharge axial EB guns with a new hybrid cathode, and a short-pulsed high-intensity electron source which has evolved from channel-spark devices and features ablative vaporization of the target material. For sampling, development of new technologies and pre-production qualification of hardware key components, a cluster tool comprising all these electron sources has been commissioned recently.

Газоразрядни източници на електрони – доказани и нови инструменти за тънкослойни технологии (Г. Матауш, Б. Цимерман, Ф. Фиетзке, Й. Хейнц, Б. Граффел, Ф. Винклер, Ф. Роегнер, Х. Метцнер). Използващите газов разряд източници на електрони представляват мощни и евтини инструменти за различни процеси, редовно необходими при вакуумното високо-скоростно напластяване на слоеве. Тук, областите на предварителна обработка на подложката, генерирането на електронен сноп за изпарение на материала, плазменото активиране при физическото парно отлагане, следващата обработка са от особен интерес. В тази работа са разгледани, някои от скоро подобрените, както и нови инструменти във Фраунхоферовия институт по електроннолъчеви и плазмени технологии в Дрезден. Обзорът съдържа ниско-волтови източници на електронни снопове, използващи дъгови разряди в кух катод, аксиални електронни пушки с високо-волтови тлеещи разряди, с един нов хибриден катод, и един късо-импулсен електронен източник с висок интензитет, който е развитие на канално-искрово устройство и използва аблативното изпарение на материала на мишената. За изпитване и развитие на нови технологии и пред-производствена квалификация на ключовите хардуерни устройства, беше скоро окомплектован един клъстерен инструмент, включващ всички тези електронни източници.

Introduction and outline

The refinement of semi-finished products with decorative or functional layers is an important area of work in surface technology. Physical vapor deposition (PVD) processes in vacuum are versatile and environmentally-friendly. Economic characteristics, however, finally decide whether a desired technology can make its way into industrial mass production.

High area throughput is one approach to save costs. Therefore, high-productive substrate pre-treatment, PVD coating, and post-treatment methods are required. In this regard, electron beam (EB) technologies are very promising. Equipment investment and running costs, of course, matter as well and need to be addressed, too.

This paper shall give an overview of various types of electron sources which are in use at FEP for

Page 38:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 196

Composite Materials with a Metal Matrix Condensed from Vapor Phase: Dispersion-Strengthened Metals

Nicolay I. Grechanyuk, Igor N. Grechanyuk, Elena V. Khomenko, Andrey G. Melnik, Vera G. Grechanyuk

Modern scientific level of dispersion-strengthened metals condensed from the vapor phase engineering is presented. The physical and mechanical properties of these materials depend on selected matrix type (pure metal, metal alloy), particles reinforced composites (oxide, carbides, borides, refractory metals), interaction at matrix-particles boundaries, technological parameters (condensation temperature, degree level of vacuum, condensation speed of initial components and their chemical purity, roughness of substrate surface on which condensation is carried out, etc.) .

Композитни материали с метална матрица, кондензирана от парна фаза: Дисперсионно-уякчени метали (Н. Гречанюк, И. Гречанюк, Е. Хоменко, А. Мелник, В. Гречанюк). Представено е модерно научно равнище на инженеринга на дисперсно-уякчени метали, кондензирани от парна фаза. Физичните и механични свойства на тези материали зависят от типа на матрицата (чист метал или метална сплав), от частиците, заздравяващи композита (оксиди, карбиди, бориди, трудно-топими метали), взаимодействието на границите на частиците на матрицата, от технологичните параметри (температурата на кондензиране, степента на вакуума, скоростта на кондензиране на началния компонент и неговата химическа чистота, грапавините по повърхността на подложката на която се извършва кондензация и т.н.).

Introduction The appearance of electron beam (1956), plasma -

arc (1958) and laser (1964) technology in the mid-twentieth century raise issue the question before developers of new technologies for processing and welding materials: why traditional source of energy for these purposes - free burning welding arc melts metals "superficially" (ratio of the depth of the molten zone to its width <1) while the sources listed above - "daggermaw" (ratio of the depth of the molten zone to the width of <100).

Looking at those processing brought about a new understanding of concentrated energy fluxes (CEF) [1]. It turned out, if the power source develops power density ≥ 105-106 W/cm2 (electron beam, laser, plasma), laws of heat transfer in a heated object change sharply at excess of some threshold power density. The overcoming this threshold has opened up before technologists new opportunities.

Great scientific and technological experience with CEF showed that the most efficient source of energy at treatment is the electron beam. In the E.O. Paton Electric Welding Institute of National Academy of Sciences of Ukraine (EWI of NASU) and Research

and Production Association "Eltehmash" multipurpose two, three, fivecrucible electron beam installation on basis powerful electron beam guns for intense molecular beams obtaining. The intensity of these beams reaches 1020 particles per cm3/s. This quasimolecular beam which is characterized, on the one hand, by the properties of the molecular beam, namely the intensity distribution in a space of approximately obeys law of cosine, and on the other hand, this beam extends as a result internal collisions of atoms, and so it has some similarity steam flows in laminar flow.

The productivity of these installations reached the level 10-15 kg of steam per hour. In other words, during 8 hours of continuous operation can be obtained masse of condensate by 100 kg or more.

Fundamental researches of basic physical and mechanical laws that determine formation of thick condensates (0,01-2,0 mm ) in the Paton NASU under the guidance academician of NASU B.A. Movchan carried out [2, 3].

The basic physical and chemical mechanisms of formation of thick vacuum condensates from some pure metals, metal alloys, oxides, carbides were established, and their physical properties depending

Page 39:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 201

Composite Materials with Metal Matrix Condensed from Vapor Phase: Microlayer Materials

Nicolay I. Grechanyuk, Igor N. Grechanyuk, Elena V. Khomenko, Andrey G. Melnik, Vera G. Grechanyuk

The problems of microlayer metal materials, obtained by condensation from vapor phase are discussed. Example of copper-molybdenum materials obtaining was first shown possibility to produce composites having a layer thickness of less than 1 micrometer, condensed at substrate temperature above 0,3 melting point of fusible layer (Cu).

Композитни материали с метална матрица, кондензирана от парна фаза: Микрослойни материали (Н. Гречанюк, И. Гречанюк, Е. Хоменко, А. Мелник, В. Гречанюк). Дискутирани са проблемите на микрослойните материали, получени чрез кондензация от парна фаза. Примерът на медно-молибденов материал е първата показана възможност да се произведат композити с дебелина на слоевете под 1 микрометър кондензиран при температура над 0.3 от точката на топене на по-лесно стапящия се слой (Cu).

Introduction The more and more attention every year have paid

to metal matrix composite consisting of components with contrasting physical and mechanical properties. Depending on reinforcing phase shape metal matrix composite can be divided into two large classes: fibrous and layered (multilayered).

Multilayer composite with metal matrix have several advantages over fiber materials, particularly in terms of physical and mechanical properties management, in particular [1]:

- Upon receipt of reinforced fiber is difficult to obtain required external surface of layer, whereas it is known that the state and properties of those play a crucial role in behavior of material under load. Furthermore, by changing the sequence of alternating layers and their thickness, the mechanical properties under a load of various kinds of multilayer composites can be varied;

- Reinforcing phases of multilayer composites provide more uniform deformation, compared with fibrous materials at the same volume fraction

- Technology of multilayer composite is more simple and wide explored than those of fibrous materials.

Industrial multilayer composites are produced by different methods based on principles of joining strips, plates and foils of different metals, in particular hot rolling or pouring molten metal of solid plates with

the subsequent rolling ingots, explosion welding, brazing, directed eutectic crystallization etc. Detailed exposition of these methods, as well as some physical and mechanical properties of multilayer composites are presented in a number of reviews and monographs summarizing [1-6]. Eutectic superalloys multilayer composites are increasingly being used in modern aircraft engines production [6-8]. Above mentioned materials have advantages in comparison with single-layer materials, for example, they are characterized by higher values of strength, heat resistance, fracture toughness, etc. [7]. In most cases, the thickness of layers in fibrous material is greater on 3-4 orders of magnitude than average grain size in multilayer composites.

Exceptionally interest for modern technology development are layered materials, in which grain size is comparable or less than the thickness of alternating layers. In such materials, the tensile strength may reach lower limit of theoretical metal strength [9]. Those layered (multilayer) materials are often called in the technical literature as microlayer materials [10, 11].

Experimental part Extensive research of MLCM based on Cu, Pb, Sn,

Cr, Fe, Ni, Mg, An, Ag, C, Al2O3 with thickness of layer 0,1 microns or less, obtained by wire beam drawing and foil rolling carried out by V. S. Kopan [11]. He showed that microlayer thickness - one of the

Page 40:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 206

Composite Materials with Metal Matrix Condensed from Vapor Phase: Microporous Materials

Nicolay I. Grechanyuk, Igor N. Grechanyuk, Elena V. Khomenko, Andrey G. Melnik, Vera G. Grechanyuk

The basic factors that affect the physical and mechanical properties of microporous material, pore volume and pore size therein. The main factors that affect the physical and mechanical properties of the microporous material, pore volume and pore size were evaluated.

Композитни материали с метална матрица, кондензирана от парна фаза: Микропорести материали (Н. Гречанюк, И. Гречанюк, Е. Хоменко, А. Мелник, В. Гречанюк). Основният фактор който влияе на физичните и механични свойства на микропорестите материали са обема и размера на порите. Главните фактори, които влияят на физичните и механичните качества на микропорестите материали, на техните обем и размер на порите са оценени

Introduction

There are many technological methods of obtaining porous materials by powder metallurgy [1-4]. Conditionally there are three groups of methods [1, 2]:

1. Obtaining products without additional additives or fillers. These include: a) pressing in molds; b) hydrostatic pressing in flexible containers; c) vibratory pressing or compacting; d) extrusion; e) roll bending or plate rolling; e) slip molding; g) sintering of loose powder sintering.

2. Obtaining products with additional incorporation of additives or fillers: a) introduc-tion of fillers, intended for conservation of pores, which are completely removed during sintering, i.e. fillers not participate in the consolidation of material during sintering; b) introduction of fillers, intended for conservation of pores, and activation of sintering process (especially if products are obtained by sintering of loose powder, where due to the action of fillers may be certain strength of finished products; c) introduction of additives to obtain strong products by strengthening the interparticle contacts in process of liquid phase sintering.

3. Others methods, included obtaining of materials from fibers, metallic wire, grids. Vapour-phase technology clears the positive perspectives for obtaining material with predetermined volume and size pores.

Experimental part Processes of evaporation and subsequent

condensation of metals and non-metals in a vacuum allows for two typical approaches for porous (microporous) materials obtaining:

a) Obtaining materials used introduction of additional additives (dispersed inclusions), contributing to formation of porosity in material during condensation, and are stored in it;

b) Introduction of dispersed inclusions into the material, facilitated formation of porosity, with are completely removed from the material during subsequent thermal processing. In the process of heating the dispersed inclusions can participate or not participate in the process of pore formation. The authors [5] have shown that the interfacial interaction on particle boundaries can be characterized by a contact angle (Ө) between refractory metal and molten metal. So certain values of Ө will characterize the presence or absence of interaction at the interface. In [6, 7], it was found that the interfacial interaction is not observed in systems where the angle on a boundary between refractory compounds and molten metal materials were in interval 60÷180 ºC. Absence of interfacial interaction and as a consequence, of diffusion flow in the direction of the metallic phase in such systems leads to conservation of the shadow areas (pores), resulting in the condensation process (Fig. 1).

Page 41:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 212

Electron Beam Heat Treatment of Aircraft Engine Combustion Chamber Casings, Made of Precipitation-Hardened Heat-

Resistant Chrome Nickel Alloys

P. D. Zhemanyuk, I. A. Petrik, О. V. Gnatenko, Y. А. Marchenko

Electron beam age hardening at repair of combustion chamber made of heat-resistant chrome nickel alloys is studied. At replacing old flange with a new one (welded by electron beam) furnace heat treatment in terms of age hardening is not applicable. In the paper implementation of electron beam age hardening of the parts is studied. This new technology allows performing combustion chamber repair without degrading the properties of parent metal and weld.

Електроннолъчева обработка на обшивката на горивните камери самолетни мотори, направена от уякчена топло-устойчива хром-никелова сплав (П. Жеманюк, И. Петрик, О. Гнатенко, Ю. Марченко). Изучено е електроннолъчевото стареене и затвърдяване на горивните камери направени от топло-устойчива хром-никелова сплав. При замяна на износен фланец с нов (заварен с електронен лъч) термична обработка в пещ за да се постигне затвърдяване чрез стареене е неприменима. В работата приложение на електроннолъчево стареене и затвърдяване на частите е изучено. Тази нова технология позволява изпълнение на ремонт на горивни камери без влошаване на свойствата на основния метал и шева.

Introduction Today a problem of choice of repair methods using

welding processes for case-shaped parts, made of heat-resistant chrome nickel alloys, is still of vital importance in aircraft engine construction industry. Heat-resistant steels and alloys are disposed during welding to autocrack formation in a weld and heat-affected zone. Autocrack formation is caused by degradation of alloy ductility owing to high content of alloying elements, such as Ti, Al, W, Mo, etc., which form fusible eutectics along grain boundaries. Parts which have been in operation or undergone heat hardening (age hardening) are largely disposed to crack formation and have a limited weldability.

Description of mechanical strength improvement

At “Motor Sich” JSC combustion chamber casings of aircraft engines are made of heat-resistant steel ВЖ-102. This grade of steel has good weldability, but slightly reduced heat resistance. It is applied for manufacture of parts operating at temperatures up to 800 ºС. Chemical composition of this steel is listed in Table 1.

For the purpose of mechanical strength improvement as well as for ensuring capability of the parts made of ВЖ-102 steel to operate at high temperatures, furnace heat treatment in terms of age hardening is applied (furnace soak at a temperature of 750 ± 10 ºС during 16 hours).

Table 1. Chemical composition of ВЖ-102 steel, %

С Ni Cr W Mo Ti Si Mn S P Al Zr

< 0.1 27-30 14-16 4.5-

6.0

3.0-

4.0

1.8-

2.3 < 0.6 < 0.6 <0.015 <0.025 <0.5 <0.02

Page 42:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 216

Surface Layer Modification by Large-Area Pulsed Electron Beams

Renate Fetzer, Alfons Weisenburger, Georg Mueller

In liquid metal technology, steel corrosion is a well-known problem. Dissolution of alloying elements into the liquid metal is prevented by the formation of a protective oxide layer on the steel surface. However, in high temperature applications, oxide layers grow fast, become brittle, and might spall. This can be avoided by surface coatings containing strong oxide formers such as Al in FeCrAl alloys. In order to guarantee long-term stability of the coating, pulsed electron beams are used to melt the coating, together with a few micrometers of the steel surface. Mixing of coating and steel and rapid solidification of the melt lead to a dense surface alloy with metallic bonding to the steel.

For re-melting of metal and metal alloy surface layers, large-area pulsed electron beams with power density 0.5-1.5 MW/cm², electron energy 120 keV and pulse duration 10-50 µs are generated by the GESA facility. Model targets from stainless steel SS 304, aluminum, and copper are used to study the processes of melting, evaporation, melt motion, and re-solidification. Profilometer measurements of the target topography after treatment are presented. The experimental investigations are accompanied by heat transfer simulations including melt motion using the code MEMOS. Although most of the experimental observations are reproduced by the simulations, some phenomena such as liquid splashing, material mixing and the development of surface waviness are not caught by the numerical calculations.

Модификация на повърхностния слой с електронен сноп с голямо напречно сечение (Р. Фецер, А. Уейзенбургер, Г. Мюелер). В технологията с течни метали корозията на стомани е добре известен проблем. Разтварянето на сплавяващи елементи е възпрепятстван от образуването на предпазен оксиден слой на повърхността на стоманата. Обаче, при високо-температурните приложения, оксидният слой расте бързо, става крехък и може да се разчупи. Това може да се избегне от повърхностно покритие, съдържащо силни оксидни формирователи, такива като Al в FeCrAl сплави. За да се гарантира дълготрайна стабилност на покритието, се използва импулсен електронен сноп, за да стопи покритието, заедно с няколко микрона от повърхността на стоманата. Смесването на покритието и стоманата и бързото затвърдяване на течния метал води до плътна повърхностна сплав с металически връзки към стоманата.

За претапяне на метала и металния повърхностен слой от сплавта, импулсен електронен сноп с голямо напречно сечение с плътност на мощност 0,5-1,5 MW/cm²,електронна енергия 120 kеV и продължителност на импулсите 10-50 µs се генерират от устройството GESA. Модели на мишени от неръждаема стомана SS 304, алуминий и мед са използвани за изучаване на процесите на топене, изпарение, смесване и повторно затвърдяване. Представени са измервания с профилометър на топографията на мишената след обработка. Експерименталните изследвания са придружени със симулация на топлинните процеси, включително и разбъркването на течния метал с компютърния софтуер MEMOS. Въпреки, че повечето експериментални наблюдения са репродуцирани от симулациите, смесването на материала и развитието на повърхностни вълни не се хващат от числената симулация.

Introduction The high solubility of steel alloying elements,

especially Ni, in heavy liquid metals (HLM) results in severe dissolution attack when steels are in contact

with HLM. To protect the structural material from corrosion attack, the formation of an oxide layer is targeted, which hinders ion diffusion. The growth of protective oxide scales can be achieved by a suitable level of oxygen in the liquid metal. Typically, a

Page 43:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 221

Surface Engineering Improvements and Opportunities with Electron Beams

Thomas M. Pinto, Anita L. Buxton, Kevin Neailey, Stuart Barnes

Recent developments at TWI in electron beam technology demonstrate how an electron beam used at lower power may be employed for a variety of purposes including a novel process, Surfi-Sculpt®. Surfi-Sculpt can neither be described as an additive nor machining process but rather as a process which relies on the interaction between the power beam and the workpiece to locally melt and move the parent material on the surface. This paper describes the mechanism of the Surfi-Sculpt process and discusses potential applications of the technology for heat exchangers and orthopaedic implants. The high level of reproducibility and material properties resulting from the process are addressed.

Подобрения и възможности на повърхностната обработка с електронен сноп (Томас Пинто, Анита Бъкстон, Кевин Ниайли, Стюарт Барнс). Последните разработки в Института по заваряване в Обединеното кралство в областта на електроннолъчевите технологии демонстрират как електронен лъч с ниска мощност може да бъде използван за различни процеси, включително за патентования Surfi-Sculpt. Този процес е нито добавящ, нито фрезоващ процес, а е основан на взаимодействието между мощния сноп и образеца за локално стапяне и предвижване на стопения метал по повърхността. Тази работа описва механизма на Surfi-Sculpt процеса и обобщава някои потенциални приложения на технологията за топлообменници и ортопедични импланти. Обсъдени са високата възпроизводимост и свойствата на материала в резултат на процеса.

Introduction

The Surfi-Sculpt process

When an electron beam interacts with a work piece, a capillary is generated. At the same time, a bulge of material is formed on the surface of the parent material [1].

Surfi-Sculpt® [2] is a novel process which manipulates this ‘bulge’ of the material. The electron beam interacts with the material surface to form a protrusion and a corresponding intrusion when the beam moves over the surface at low power, shown in Fig. 1. Surfi-Sculpt can be utilised to produce an area of features directly formed from the parent material. In order to be able to produce these features, a power beam, such as an electron beam, is deflected rapidly over a substrate surface to displace material in a controlled manner.

Surfi-Sculpt works by the following two means: • When the electron beam is paused temporarily,

rapid melting and evaporation of the parent material occurs giving rise to a partially penetrating capillary surrounded by solidified material;

• The manipulation of the electron beam, using a defined deflection pattern, causes material to be moved in the reverse direction. This harnesses the combined effects of temperature-variant surface tension and vapour pressure at the beam interaction site in order to create a protrusion and intrusion.

Fig.1. Schematic diagram of Surfi-Sculpt process

(reproduced by permission TWI Ltd).

Page 44:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 226

Optical and Structural Investigations of WOx Thin Films Deposited by Electron Beam Evaporation Process

Katia Vutova, Vania Vassileva, Alexander Stoimenov, Elena Koleva, Tatyana Ivanova, Georgi Bodurov, Kostadinka Gesheva, Georgi Mladenov

Thin films of tungsten oxide are obtained at different technological parameters using electron beam evaporation process. Their optical and structure properties are investigated and the relation with process parameters is discussed. Optical transmittance of 75 % of as-deposited WOx films is achieved and found to be dependent on deposition process parameters. The electron beam power is found to be decisive parameter for the thin film surface roughness.

Оптично и структурно изследване на WOx тънки слоеве, отложени чрез електронно лъчево изпарение (К. Вутова, В. Василева, А. Стоименов, Е. Колева, Т. Иванова, Г. Бодуров, К. Гешева, Г. Младенов). Получени са тънки слоеве от волфрамов оксид чрез електроннолъчево изпарение при различни технологични условия. Оптическите и структурни характеристики на слоевете зависят силно от стойностите на технологичните параметри. Оптическа прозрачност от 75 % е измерена за свежо отложени WOx слоеве и е установена зависимост от условията на отлагане на слоевете. Мощността на електронния сноп е важен фактор за повърхностната грапавост на слоевете.

Introduction Transition metal oxides possessing specific

electronic structure are capable to exhibit electrochromic effect. This effect is defined as a change in the transmittance of the oxide film upon a small voltage applied across the film. Visually the film colors, and bleaches back to the initial transparence, if the voltage polarity is changed.

The effect has been first observed in WO3 by Satyen Deb [1]. A number of investigations have been carried out, and a valuable Handbook of Inorganic Electrochromic Materials by Claes-Goran Granqvist appeared [2]. A monography on fundamentals and applications of electrochromism was written by P.M.S. Monk, R.J. Mortimer, D. R. Rosseinsky [3]. Different techniques have been employed to deposit WO3 tungsten oxide films, and other types of transition metal oxides such as MoO3, TiO2, Cr2O3, etc. More recent book on Thin film Optical Coatings for Effective Solar Energy Utilization, Ed. K.A.Gesheva [4], describes results on electrochromic thin film materials produced by chemical vapor deposition at atmospheric pressure (APCVD) technology. The book [5] by G.Smith and C-G.Granqvist includes a profound description of the light and nanostructures interactions in all the aspects

related to spectral properties of uniform materials. The electrochromic device (ECD) is described as a functioning device. A standard device of an EC device as given in [2] is described as five layers backed by one substrate or positioned between two substrates, normally glass.

Practically ECD is two conductive glass substrates over one of which our transition metal oxide film is deposited, and over the second conductive glass an ion storage film is deposited. Usually, the second conductive glass is bare, and the two glass substrates are laminated by polymeric electrolyte with inserted Li (or other alkali ions) ions. If a small voltage is applied at the conductive glasses electrical charge transfer starts in the device: electrons from the conductive electrode are injected in the oxide film structure, ions from the electrolyte intercalate into the film structure, and this temporary film structure becomes absorptive, absorbs part of the solar light falling on the film, and coloring appears (a nice blue color for WO3). If the polarity of the applied voltage is changed the electrical charge goes back, and the device bleaches up.

An intensive research has been going in the last decades, at present the concerns are related to the comparatively high price of electrochromic devices, especially if applied as “Smart windows” in car

Page 45:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 231

ELECTRON OPTICS, ELECTRON GUNS AND ELECTRON BEAM MASHINES

High Angle, High Integrity Beam Deflection

Colin Ribton

Electron beam surface treatment and 3D printing impose stringent beam deflection requirements. The deflection must be of high frequency (some 50 kHz), high amplitude (10 s of mm) and accurate (to within 10 microns). Methods are described for the design of high integrity deflection coils and matching these to available current amplifiers. Simulations of the field allow trajectory plotting of beams to determine their intensity after deflection.

Високо-ъглово и високо-интегрално отклонение на снопа (Колин Рибтон). Електроннолъчевата повърхностна обработка и 3D печатането поставят строги изисквания към отклонението. Отклонението трябва да е високочестотно (например 50 kHz), с висока амплитуда (десетки mm), и точност (в пределите на 10 µm). Описани са методите на конструиране на високо-интегрални отклонителни бобини и съгласуването им с наличен токов усилвател. Симулацията на полето позволява траекторно изчертаване на снопа за определяне неговия интензитет след отклонението.

Introduction Electron beams have been used for many material

processing applications. Electron beam welding for example is carried out with intense, focused beams with power densities of the order of 1 to 100 kWmm-1. 3D printing with electron beams has been developed in the last decade and uses similar beam power densities of 1 to 10 kWmm-1 with focused beam spot sizes of 0.5mm diameter measured full width at half the maximum (FWHM). In this process, the beam is deflected across a powder bed on a build table to selectively fuse the powder.

Fig. 1. 3D printed medical implant - (courtesy Arcam AB)

The build table descends by some 60 microns, a new powder layer is deposited and the beam is used to

create the next layer of the component. The component is built as successive layers are made and at completion, the unfused powder is recovered.

Components can be built at rates from 5 mm to 10mm height per hour. An example component is shown in fig. 1 – this is an acetabular cup and some 60,000 of these have been manufactured in this way to date [1].

Currently, this process can print parts of up to 400mm diameter. This limitation is imposed by the reduction of beam intensity at the extremity of the powder bed, due to deflection aberration at higher angles. A reduced beam intensity would lead to a variation in the processing performance, and a lower resolution build that may have less sharply defined edges and an undesirable rougher surface finish.

Although the beam can be deflected further if the working distance is increased and the deflection angle maintained, the beam intensity is still reduced. As the beam brightness remains constant (n.b. the beam brightness is the ratio of the spot intensity to the beam angle) and lengthening the working distance reduces the beam angle, consequently the intensity must be reduced pro rata [2].

Page 46:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 236

Source of Radial Converging Electron Beam for Modification of Long-Length Cylindrical Targets

V. Engelko

In previous period it was created a method of improving corrosion resistance of construction steel tubes situated in liquid heavy metal coolants (as example Pb and Pb-Bi used in nuclear reactors). At beginning on the steel surface is deposited a layer from Al or Al-containing alloys in order to create Al2O3 barrier preventing the interaction of the steel with the liquid coolants. Then the surface layer and layer of the steel surface are melted by irradiation with microsecond pulse intense electron beams. For realization and optimization of this process a pulsed electron beam experimental facility GESA-4 was designed. In the paper are discussed main problems and achievements of developed facility.

Източник на радиално-събиращ се електронен сноп за модификация на дълги цилиндрични мишени (Владимир Енгелко). В предишния период бе създаден метод за подобряване на корозионната устойчивост на тръби от конструктивна стомана, намиращи се в корозивни течни тежки метали (например Pb и Pb-Bi използвани в ядрените реактори). Първоначално върху стоманената повърхност се отлага слой от Al или Al-съдържаща сплав с цел да образува Al2O3 бариера, предпазваща от взаимодействие с течния метал. Тогава повърхностния слой и слоя на повърхността на стоманената мишена се топят от облъчване на микросекунден импулсен електронен сноп. За реализация и оптимизация на този процес една импулсна електроннолъчева експериментална апаратура GESA-4 бе конструирана. Вработата се дискутират главните проблеми и постижения на разработеното устройство.

Introduction Over a period of years cooperation of teams from

KIT (Karlsruhe, Germany), Efremov Inst. of Electrophysycal Apparatus (St. Petersburg, Russia), A.I.Leypunsky Inst. For Physics and Power Engineering. (Obninsk, Russia) develop a method of improving corrosion resistance of construction steels in liquid heavy metal coolants (LHM) consisting in saturation of the steel surface layer by Al in order to create Al2O3 barrier preventing the interaction of the steel with LHM [1,2]. A thickness of the modified layer is 15-20 µm. The bulk of the steel keeps its initial mechanical and technological properties. The procedure consists in two steps: (i) coating the steel surface with Al or Al-containing alloy and (ii) melting both the coating and the steel surface by irradiation with microsecond intense electron beams (MIEB). Such treatment (so called GESA process) causes the mixing of the steel elements with the coating elements, finally leading to a modified Al-containing layer on the steel surface.

Main results of studies performed up to now are

the following [3]. The optimal procedural steps and MIEB parameters values were found providing rather uniform modified layer with a thickness 15-30 µm and required Al concentration. The general aspect of the alloyed surface layer (parameters: 25 µm, 45 J/cm2, 30 µs, 2 pulses) together with the Al concentration measured in different regions are shown in Fig. 1. The Al concentration in the alloyed layer varies from 15 - 20 wt%, near the surface, and 5-10 wt%, at the interior. The observed concentration oscillation along the thickness of the layer suggests a turbulent mixing process (Fig.1).

Results of corrosion tests allow to conclude that the application of the Al+MIEB modification of steels 316, T91, 1.4970 и EP 823 improves essentially their corrosion resistance in HLM in a wide range of oxygen concentration in LHM and temperature. The increase of the surface micro-hardness after AL+MIEP treatment allows to suppose that erosion resistance of steels can also be improved.

Page 47:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 242

Characterisation of electron beams generated by a plasma-cathode gun

Nikolay Rempe, Sergey Kornilov, Alexander Beniyash, Nils Murray, Thomas Hassel, Colin Ribton

The paper is devoted to electron beam guns based on the emission of electrons from plasma of a hollow-cathode reflective discharge. The measuring of the diameter and brightness of beam with the rotating wire sensor and scanning slit device were performed. A gun capable of producing strongly focused electron beams of power up to 6 kW and energy up to 60 keV. The test piece welding was confirmed a good beam quality. A key finding from these studies was that the brightness of the electron beam generated by the gun with a plasma cathode is not inferior brightness beams obtained by thermionic cathodes. For example, the brightness of the beam with power up to 4 kW and energy of 60 keV was approximately 1010 A·m-2·sr-1 at the focal distance of 0,5 m. Beam diameter does not exceed 460 µm.

Характеризиране на електронен сноп, генериран от пушка с плазмен катод (Н. Ремпе, С. Корнилов, А. Бениаш, Н. Муррай, Т. Хассел, К. Рибтон). Работата е посветена на електронна пушка, използваща емисията от плазма на отражателен разряд в кух катод. Измерването на диаметъра и яркостта на снопа е направено с въртяща се сонда от проводник или със сканиращ процеп. Пушката получава силно-фокусиран сноп с мощност до 6 kW и енергия до 60 keV. Заваряване на тестови образци потвърдиха доброто качество на лъча. Едно важно заключение от тези изследвания е, че яркостта на пушката с плазмен катод не е по-лоша от получената от термо-емисионен катод. Например, яркостта на сноп с мощност 4 kW и енергия от 60 keV е приблизително 1010 A·m-2·sr-1 при фокусно разстояние от 0,5 m. Диаметърът на снопа не превишава 460 µm.

Introduction Electron-beam guns with a plasma cathode based

on the emission of electrons from a low-voltage discharge with a hollow cathode [1] have long been used in the beam technologies [2, 3]. In contrast to the widespread triode guns, guns with a plasma cathode are designed by a diode scheme. Current control in such guns is performed without grid electrode. The advantage of such a beam current control [4] is, in contrast to hot cathode triode gun, the electron-optical properties of the focused beam remain practically unchanged at the beam current variation. Within the broad range of the electron-beam experts is widely believed that the guns of this type provide a low beam current density because of the high electrons temperature by the emitting plasma. According to our estimates, based on the known formula of Langmuir [5], for typical parameters of the plasma cathode, the minimum size of a focused electron beam must not exceed a few tens of microns [6].

However, in experiments such a small diameter has not been reached for a long time for beam emitters using discharge processes. The reason was the underestimation of the influence of the magnetic field of the discharge chamber on the properties of the electron beam in the accelerating gap and the drift space of the gun. After the optimization of the magnetic field, electron beams with a power density of up to 107 W/cm² at 60 keV electron energy can be generated. In addition to the power density in the focal spot, the brightness of the electron beam is equally important to evaluate the electron-optical parameters of the gun. High brightness makes it possible to use plasma-cathode EB guns for high-quality welding, applications involving pressure stage systems (non-vacuum, low-vacuum) or processes with high demands on beam quality such as EB drilling or rapid manufacturing or rapid prototyping. The results of our assessment of the beam generated by an electron gun with a plasma cathode are set out below.

Page 48:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 249

An RF Excited Plasma Cathode Electron Beam Gun Design

Sofia del Pozo, Colin Ribton, David R. Smith

A plasma cathode electron beam (EB) gun is presented in this work. A radio frequency (RF) excited plasma at 84 MHz was used as the electron source to produce a beam power of up to 3.2 kW at -60 kV accelerating voltage. The pressure in the plasma chamber is approximately 1 mbar. The electrons are extracted from the plasma chamber to the vacuum chamber (at 10-5 mbar) through a diaphragm with a 0.5 mm diameter nozzle. Advantages over thermionic cathode guns were demonstrated empirically. Maintenance costs are reduced, as the cathode does not wear out as quickly during use. RF modulation can be used for controlling the beam power and thus there is no requirement for a grid cup electrode. Rapid (sub 1 microsecond) beam pulsing is achievable. Optical emission spectroscopy has been used to study the plasma parameters that affect the level of beam current.

Конструиране на електронна пушка с РЧ плазмен катод (София дел Позо, Колин Рибтон, Дейвид Смит). В тази работа е представен електронен сноп с плазмен катод. Радио-честотно възбудена плазма при 84 MHz се използва като източник на електрони, произвеждайки мощност на лъча до 3.2 kW при -60 kV ускоряващо напрежение. Налягането в плазмената камера е около 1 mbar. Електроните са извлечени от плазмената камера във вакуумната технологична камера(при 10-5 mbar) през диафрагма с 0.5 mm отвор. Опитно бе демонстрирано предимството пред термо-катодите. Намалена е цената за поддържане, тъй като катодът не се износва по време на работа така бързо. РЧ модулация може да се използва за управление на мощността на лъча и затова тук няма нужда за решетъчен цилиндър. Очаква се бърз (под 1 µs) лъчев импулс. Използвана бе оптична емисионна спектроскопия за изучаване на плазмените параметри, които влияят на големината на тока на лъча.

Introduction Electron beam (EB) guns have been used for a

long time in material processing applications [1]. Welding, electron beam melting for additive manufacturing and surface modification are some examples of applications that require different beam powers and accelerating voltages. Generally, these types of electron guns use a thermionic cathode as the electron source. However, there are some limitations with thermionic cathodes. Firstly, the cathode wears [2] during processing and as a result the beam properties (e.g. intensity and focus position) are changing from the beginning to the end of its life and this introduces degradation of the quality of the processing. Secondly, in conventional guns a third electrode or grid cup is used to control the flow of electrons that form the beam, and this introduces beam aberration [3]. Thirdly, rapid pulsing of the beam requires complex electronics, which can be expensive and prone to failure.

In this work a plasma cathode gun [4] is presented

as a solution to the main problems encountered with thermionic guns. Since an ionized gas is used as the electron source instead of a thermionic material, the problems associated with cathode wear are substantially reduced. This allows repeatability for the material processing application from the start to the end. An RF signal is used for the plasma excitation, thus RF amplitude modulation can be used to control beam power without using a grid electrode (i.e. operating the gun as a fast diode) and this enables rapid beam pulsing up to 200 times faster than with thermionic guns.

Optical emission spectroscopy will be used to look at the plasma generated. This is one of the most established techniques in plasma diagnosis providing the possibility to extract information from the plasma in real-time and in a non-intrusive way so that the plasma is not affected [5]. Even though the preliminary results demonstrate that a beam can be extracted from the plasma cathode gun, the beam power is only sufficient for low power material processing applications. Higher power is needed for

Page 49:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 254

Simulation of Time of Current Increasing in Impulse Triode High Voltage Glow Discharge Electron Guns

Igor V. Melnyk

In this article defining of the time of current increasing in triode high voltage glow discharge ele-ctron guns for investigation its technological possibilities is considered. Provided analyse is based on calculation the parameters of anode plasma, namely its volume and ions concentration in it. These pa-rameters are defined by analysing balance of created and outgoing ions in the plasma volume. Provided theoretical investigation shown, for acceleration voltage 10 – 30 kV, operation pressure 0.1 – 1 Pa and for voltage on additional electrode range of 50 – 300 V, time of increasing of beam current is in range 20 – 250 µs. Therefore, high voltage glow discharge electron guns can successfully operated in the impulse regime on the industrial electron beam technological equipment.

Симулиране на времето на нарастване на тока в електронна пушка с импулсен триоден високоволтов тлеещ разряд (И. Мелник). В тази работа е разгледано определянето на времето на токовото нарастване в триодни електронни пушки с високоволтов тлеещ разряд за изследване на технологичните им възможности. Направения анализ се основава на пресмятане на параметрите на анодната плазма, преди всичко на на обема и и на йонната концентрация в него. Тези параметри са определени чрез анализ на баланса между създаваните и уходящите йони в плазмения обем. Направеното теоретично изследване за ускоряващи напрежения 10-30 kV, налягания 0,1-1 Ра и за напрежения на допълнителния електрод от 50 до 300 V, времето на нарастване на тока на лъча е от порядъка на 20-200 µs. Следователно, електронните пушки с високоволтов тлеещ разряд могат успешно да работят в импулсен режим в индустриалното електроннолъчево оборудване.

Introduction

High voltage glow discharge electron guns [1] are widely used in industry for providing complex tech-nological operations. Such guns are successfully ap-plied today for high rate electron beam welding, for annealing of small items, for films deposition in the medium of different gases, including noble and active ones, as well as for refusing of refractory metals in the soft vacuum. Such guns are characterised by high stability of operation, low costs of guns and of evacuation equipment, and by possibility of beam current control by changing the gas pressure in the guns chamber.

However, flow-dynamics control of beam current is not so fast, as required. Usually the time constant of current regulation is in range of hundreds ms [2]. Therefore, the electric method of beam current control, based on lighting of additional discharge, was proposed and investigated [3]. Such method allows realise the pulse regime of gun’s operation, which is

very perspective to using in the modern electron-beam technologies [4].

However, providing the estimations of the time of discharge current increasing in triode high-voltage glow discharge (HVGD) electrodes systems are still not investigated. This fact is deterrent the elaboration and providing in industry of perspective triode glow discharge electron guns. Therefore, obtaining of analytical expressions for estimation the time of incre-asing of discharge current with changing the voltage of additional electrode is the main aim of this article. Theoretical analyse is based on calculation the volume of anode plasma and ions concentration in it. These parameters are defined by solving the equation of ions balance. Therefore, the time of in-creasing of beam current is defined by the difference between ions’ concentration in anode plasma for different values of voltage on the additional elect-rode. The results of theoretical investigations for acceleration voltage 10 – 30 kV, operation pressure 0.1 – 1 Pa and for voltage on additional electrode range of 50 – 300 V are given and analysed.

Page 50:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 259

Technological Electron Beams Parameters Evaluation Based on the Optical Radiation in Vacuum

Alexey L. Goncharov, Victor K. Dragunov, Andrey P. Sliva, Maksim A. Portnov, Alexey V. Scherbakov, Ivan S. Сhulkov

The work is devoted to exploring the determining possibilities of the high-power technology electron beams parameters by the beam glow image on the residual gases in the vacuum chamber. Digital camera is used to make images in the visible spectrum.

The method is established to be used to determine the position of the beam focal plane, as well as to identify power density distribution characteristics. The beam parameters for electron-beam apparatus with energy complex ELA 40I with an electron gun measurements results are shown.

The proposed method of estimating the electron beams parameters can be used for the process equipment operation controlling, for example, to assess gun alignment accuracy and the cathode state by the distribution of power density. Furthermore, the obtained dependence of the beam parameters on the electron gun operating mode can be used for selecting process parameters to reduce the amount of work on welding conditions experimental development.

Oценка на параметрите на технологичен електронен сноп на базата на оптичната радиация във вакуум (Алексей Гончаров, Виктор Драгунов, Андрей Слива, Максим Портнов, Алексей Щербаков, Иван Чулков). Работата е посветена на изучаване на параметрите на мощен технологичен електронен сноп по светенето на остатъчните газове във вакуумната камера, заснети с дигитална фото-камера. Предложения метод определя позицията на фокуса на лъча, както и характеристиките на плътността на мощност. Показани са резултати за електроннолъчева апаратура с енергиен комплекс ЕЛА401. Методът на оценка параметрите на лъча за управление на работата на оборудването, например за оценка точността на юстиране на пушката и състоянието на катода по разпределението на плътността на мощност. Нещо повече- получената зависимост на параметрите на снопа от режима на електронната пушка може да се използва за избор на параметрите на процеса и намаляване на експериментите по уточняване на заваръчните условия.

Introduction One of the main applications of the electron beam

in the material processing technologies is electron beam welding (EBW). This method allows connecting materials which thickness varies from a few tenths of a millimeter to several hundred millimeters with minimized thermal impact, while the spectrum of welded materials is very wide - from steel of different structural grades to light, color and refractory materials and their alloys [1].

The electron beam used for EBW as the process tool has a number of parameters to be provided for obtaining the welded joint with the desired characteristics. The main of these parameters are the beam diameter in any section, the position of the beam "focal" plane, and the power density

distribution in the beam cross section. The value of these parameters in the implementation of the welding process determines both the size and shape of the melting zone, and the occurrence of specific defects probability in welding EBW [1 - 3] .

Electron beam parameters study methods can be divided into theoretical and experimental. Theoretical methods are based on calculation of the electric and magnetic fields in the generation and beam transport area and the subsequent calculation of particle trajectories forms in the received fields. Besides particle trajectories the energy distribution, speeds, spatial coordinates and other parameters directly related to the electrons emitted by the cathode are studied. [4, 5].

The main difficulty in the implementation of these methods is the calculation of trajectories in real

Page 51:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 264

Electron-Optical Characteristics of Beams Generated by Electron Plasma Sources

Vladimir A. Gruzdev, Vitali G. Zaleski

Electron-optical characteristics of electron beams generated in sources of two types are considered. One of these sources is based on reflected hollow cathode discharge and the other one rests on a modified reflected discharge. They differ in emitting plasma formation conditions and emitter electrode potentials. The discrepancies of electron-optical properties of the generated electron beams with high accelerating voltage are established. The analysis of the reasons determining these differences are presented.

Електронно-оптични характеристики на снопове, генерирани от плазмени източници на електрони (Владимир Груздев, Витали Залески). Електронно-оптичните характеристики на електронни снопове, генерирани в източници от два типа са разгледани. Единият от тези източници използва отражателен разряд с кух катод, а другият-модифициран отражателен разряд. Те се различават по състоянието на емитиращата плазма и електродния потенциал на емитера. Разликите на електронно-оптичните свойства на генерираните електронни снопове с висок ускоряващ потенциал са установени. Представен е анализ на причините, определящи тези различия.

Introduction Electron-optical systems (EOS) with plasma

emitter providing a constitutive increase of durability of electron-beam technological equipment, were developed as the alternative of EOS with thermocathode. At the same time, it was assumed that beams formed by EOS with plasma emitter were regarded to be less suitable for electron-beam technologies in comparison with beams formed by EOS with thermocathode. This opinion was based on the statement that the initial energy of electrons emitted by plasma is considerably higher than emitted by thermocathodes. But there was a theoretical possibility to reach that value of such a main parameter of the beam as brightness in EOS with plasma emitter up to values typical of EOS with thermocathode. The possibility consisted in the occurrence of much higher current density emission of plasma emitter. It stimulated the substantial volume of the experimental researches in the field of development of different types of EOS with plasma emitter and the evaluation quality of the electron beams formed by them.

As a result the possibility of realization of two

principally different plasma emitters was shown, and also the principal difference of EOS with plasma emitter and EOS with thermocathode. The essence of the latter difference consists in the fact that in EOS with thermocathodes beam crossover is formed due to beam acceleration. Then this crossover is imaged by the focusing system (usually magnetic) on the technological object. In EOS with plasma emitter due to high density current emission the plasma emitting surface is considerably smaller. At the further magnetic focusing, the beam section in the field of emission (small emitting surface) is imaged on the technological object. The square of this beam section, the position of the surface of emitting plasma and its shape essentially depend on the potential of the walls of emissive channel where the emitting plasma surface, plasma density, geometry of electrodes of EOS and their potentials form.

Besides, it was supposed that the realizable extracting mechanisms of the emitted electrons from plasma to the accelerating gap influence electron-optical characteristics of EOS with plasma emitter. It is possible to distinguish two extracting mechanisms to the main, fundamentally different, ones.

Page 52:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 269

Electron Beam Welding Machines with Plasma Cathode Gun

Sergey I. Belyuk, Igor V. Osipov, Alexander G. Rau, Grigory V. Semenov

Electron beam machines with the plasma cathode guns are presented. All machines possess high

extent of automation. The high-voltage source has effective protection against breakdowns and small time of restoration. Machines are equipped with systems of coaxial to a beam optical targeting and targeting in the reflected electrons. In machines high-precision manipulators are used. Technological process is completely automated. There is a system of documenting of technological process.

The following features of the plasma cathode electron guns are in detail discussed: - High stability of optical parameters at current regulation from a targeting mode (0.1-0.5 mА) to

welding 150 mА. The position of the focal plane doesn't change. At current regulation optical properties of an electronic beam remain invariable;

- Tolerance to properties of welded materials. There are no heated details in guns, temperature of electrodes doesn't exceed 150 degrees Celsius;

- At breakdown current of a beam "breaks", on welded details doesn't remain traces; - The self-adjustment of a plasma emitting surface in the emission channel provides high optical

quality of a beam.

Електроннолъчеви машини за заваряване с пушки с плазмен катод (С. Белюк, И. Осипов, А. Рау, Г. Семенов). Представени са електроннолъчеви заваръчни машини с пушки с плазмен катод. Всички машини са високо-автоматизирани. Високоволтовият източник има ефективна защита против пробиви и малко време на възстановяване. Машините са снабдени със съосно оптично насочване на лъча и с насочване с отразени електрони. Използват се високо-точни манипулатори. Технологичният процес е напълно автоматизиран. Има система за документиране на технологичния процес. Следните особености на пушките с плазмен катод са обсъдени:

- Висока стабилност на оптичните параметри при токово регулиране от една мода от 0,1 до 0,5 mA при заваряване с 150 mA позицията на фокусната равнина не се променя и оптичните свойства на снопа са неизменни;

- Толеранс към свойствата на заварявания материал. Няма нагрети части на пушката, температурата на електродите не превишава 150 оС.

- При пробив в пушката не остават следи върху заваряваните детайли. - Саморегулирането на плазмената емитираща повърхност в емисионния канал

обезпечава високо оптично качество на снопа.

Introduction Work on the creation of plasma cathodes began

over thirty years ago. In our opinion, the greatest success has been achieved in creating a plasma cathode based on low-voltage glow discharge with a hollow cathode. During this time, have been studied the basic physical processes in the electron emitter plasma cathode [1-2], much attention is paid to the design and adaptation of industrial electron guns with such emitter [3] and even created a new scientific direction "plasma emission electronics" [4]. On the basis of the plasma cathode guns were created

machines for different purposes [5-7]. Hallmark machines presented in this article, is that they are mass-produced. Due to the specific characteristics of guns with plasma cathode these machines have a number of unique advantages.

Plasma cathode electron gun The principle of operation and construction of

plasma-cathode electron gun is in detail featured in [3,5]. A basis of gun is a no separable metal-ceramic unit (cathode unit). The cathode unit is a discharge chamber for low-voltage glow discharge with cold electrodes. In the one of electrodes there is an aperture

Page 53:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 274

The Exploitation of Concentrated Energy Flows for Welding and Protective Coating Production

M. V. Radchenko, Yu. O. Shevtsov, T. B. Radchenko, D. A. Nagorniy

The results of coatings properties investigations, made by electron beam and gas powder cladding process and technological demands as well, are presented in the article.

Експлоатация на концентрирани енергийни потоци за заваряване и продукция със защитни покрития (М. Радченко, Ю. Шевцов, Т. Радченко, Д. Нагорний). В работата са представени технологичните изисквания и резултатите от изследване на свойствата на покрития, получени с електроннолъчев или газов процес на прахово покритие.

The development of modern machine-yapreyavlyaet more stringent requirements for reliability and durability of the best response to Sven-parts and units, working in conditions of high temperatures, cyclic heating-loads, gas and abrasion. Their rabotospo-sobnost largely determined by the physical and mechanical properties of working surfaces of mating parts. Therefore, one of the main ways of increasing longevity, increasing the service life of the caught and mechanisms that reduce the amount of spare parts is used in the production and repair stve those vysokotehnoeffektivnyh-tech manufacturing processes-tion hardening and surfacing. In recent years, along with the traditionally used are all the bo-Lee widespread use of new progressive methods using nye vysokokontsen-centered energy sources (electron beam, thermal spray). The practice of internal engine ternal combustion engine (ICE), especially the bathroom, forcing diesel engines shows that the weakest area of pistons are annular grooves for compression rings. The formation of Me-gap limit forward compression ring and the annular piston ka-Navka largely determines the resources of the engine to the bulkhead, fuel and oil, as well as the repair costs. Therefore, the solution of problems of increasing the service life of piston ring grooves is the main nym direction for practical use of research results, both in Russia and abroad. A team of authors in the Problem Laboratory of la-welding processes and the creation of a coating-Szczytno AltSTU them.

II Polzunov based on more than 20 years of experience in the area of electron-beam technologies developed technology of electron-beam-tang hardening of the most technologically sophisticated piston-aluminum alloys nevyh hypereutectic composition brand AK21M2,5H2,5. The technology pack-hardening is a cathode layer remelting the metal in the place the piston, where the groove is performed in the subsequent ring grooves necessary geometrical dimensions.

As a result of complex experimental investigations have revealed an optimal process parameters of hardening of the regime, allowing to obtain practically flawless metal in the melting zone with high wear resistance. In the process of mining development and production of technology-beam-hardening elektronnolu pistons for diesel engines of "Barnaultransmash" it was revealed that in this technology-tion there is a strong reserve for increasing the wear resistance of the first ring grooves - namely, the hardening of the doping-em, which significantly expanded to domain of application of this method of hardening [1-5].

In the case of additional alloying of aluminum alloy in order to obtain an even higher wear resistance as the doping material is proposed to use nichrome or copper conduction loci, whose content in the weld a metal determines the required mehanicheskies-voystva, such as hardness, iznoststoy-bone. The appearance of piston blanks yn-hardening zones under the annular groove is shown in a riunke.

Page 54:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014

279

ELECTRON LITHOGRAPHY, ELECTRO-OPTICAL DEVICES AND POLYMER MODIFICATION

Study of Electron Beam Resists: Negative Tone HSQ and

Positive Tone SML300

Ivan Kostic, Anna Bencurova, Anna Konecnikova, Pavol Nemec, Adrian Ritomsky, Elena Koleva, Katia Vutova, Georgi Mladenov

In this paper the contrast and sensitivity characteristics are investigated concerning the negative tone electron beam resist HSQ (hydrogen silsesquioxane) and the positive tone SML300 electron beam organic resist, designed for a high-aspect ratio. Different developers were used for the HSQ resist – various concentrations of the tetramethyl ammonium hydroxide (TMAH) solutions and TMAH solutions with adding different concentration of NaCl. For the SML300 resist the development was performed for 30 sec in IPA:H2O (7:3), MIBK:IPA (1:3) and xylene. The addition of different concentration of NaCl to TMAH has different influence on the contrast characteristic of the HSQ in comparison with the case of using a mixture of NaOH and NaCl as a developer. The observed contrast value decreases with adding NaCl solutions to TMAH, while the addition of NaCl to NaOH improves the contrast. In the case of SML300 using the xylene as a developer, the sensitivity of SML resist is improved considerably and found to be comparable to benchmark poly(methyl methacrylate) (PMMA) resist.

Изследване на електронни резисти: негативен HSQ и позитивен SML300 (И. Костич, А. Бенкурова, А. Конечникова, П. Немек, А. Ритомски, Е. Колева, К. Вутова, Г. Младенов). В тази работа са изучени контрастните характеристики и чувствителността на негативния електронен резист HSQ (хидроген силсескюиоксан) и на позитивния електронно-чувствителен органичен резист SML300, който е направен за получаване на високи отношения на височина към ширина на проявения релеф. Като проявител за HSQ бе използван TMAH с различна концентрация и смес на TMAH с NaCl c променяща се концентрация. За SML300 като проявител бе използвана смес IPA:H2O в съотношение 7:3; MIBK:IPA 1:3 и Ксилен. Добавката на NaCl към TMAH има различно действие върху контрастната характеристика на HSQ проявяван със смес от NaOH и сол. Наблюдаваният контраст се влошава вместо да се подобри. В случая на SML300, използвайки Ксилен като проявител, чувствителността на SML бе подобрена значително и бе сравнима с използвания като образец за подражаване резист полиметил метакрилат (ПММА).

Introduction Electron beam lithography is a promising

technique, since an e-beam can easily be focused on a spot of 4 nm or less [1]. The second element in nanostructures fabrication is the so-called resist, the medium onto which the desired pattern is written by the electron beam. This electron-sensitive resist must be altered by the beam in such a way that, after development the portion exposed to the beam is

removed (positive tone resist) or remains on the substrate after the unexposed portion is removed (negative tone resist). The properties of the resist material used in the lithographic process are an important factor governing the resolution. In e-beam lithography, the resolution is not limited by the probe size but rather by electron scattering, both in the resist and in the substrate. Other factors, controlling resolution, sensitivity and contrast of the developed relief, are the length of the initial polymer resist

Page 55:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 284

Mask Less Lithography Cluster for Low and Medium Volume Manufacturing

Viacheslav V. Kazmiruk, Ilya G. Kurganov, Tatiana N. Savitskaja

__________________

In the paper is presented a technological cluster for mask less e-beam lithography (ML2) and metrology for fast non destructive defect inspection and review, and for CD, 2D and 3D measurement.

The cluster potentialities comply ITRS targets for the next generations of microelectronics technologies up to 2020.

At the heart of cluster there are four dedicated e-beam based systems:

1. Electron Beam Direct Writer(EBDW)

2. Ultra fast SEM for CD, 2D, 2.5D and 3D measurements (UF SEM).

3. Defect inspection system (DIS)

4. Ultra low voltage SEM (ULV SEM) with electrons landing energy down to 1V.

Such a combination of lithography and metrology tools enables one to maximize productivity for particular application while maintaining acceptable cost of ownership.

The cluster forms the basis for start up factories and pilot lines when it is equipped with necessary standard tools for wafer processing. However, the cluster can be used successfully jointly with the mature factories for high volume production.

Безмасков литографски клъстер за ниско- и средно-серийно производство (В. Казмирюк, И. Курганов, Т. Савитская). В работата е представен технологичен клъстер за безмасковаелектронна литография и метрология за бързо неразрушително намиране на дефекти и обзор , както и за CD, 2D и 3D измервания. Клъстерът има потенциал да съответства на ITRSмишени за следващата генерация на микроелектронните технологии до 2020 г. В сърцето на клъстера има четири електроннолъчеви системи: а) Електроннолъчева експонираща машина; б) Свръх-бърз СЕМ за CD, 2D, 2.5D и 3D измервания; в) Система за инспекция на дефекти; г) СЕМ със свръх-ниска енергия на кацане на електроните – до 1eV. Тази комбинация от литографски и измерителни инструменти позволява да се повиши производителността при специфични приложения при приемлива цена на собственост. Клъстерът формира база за първоначално производство и пилотни линии, когато се екипира със стандартни инструменти за обработка на пластини. Обаче, клъстерът може да се използва успешно заедно с изпитана фабрика за производство на големи обеми микроелектронни изделия.

Introduction The electron beam lithography (EBL) and

metrology (EBM) are widely used in research laboratories and Universities to provide R&D for a large field of applications. However, their application for manufacturing purposes is limited because of low throughput and high cost of ownership. Nevertheless, the permanent trend of size decreasing leads to the

need for more complex optical lithography and masks, and it opens opportunities for high throughput electron beam direct writing (EBDW) systems. One of the possible solutions for EBDW to address ASIC manufacturing is presented in [1]. It was shown that high throughput mask less systems may represent a viable alternative to optical lithography and reduce manufacturing cost by mask budget reduction, especially in the case of ASIC makers and foundries.

Page 56:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 290

Electro-Optical Devices by Electron Beam Technique: Polymer Dispersed Liquid Crystal Materials

Ulrich Maschke, Mohamed Bouchakour, Yazid Derouiche, Zohra Bouberka

This contribution focuses on a detailed investigation of polymerization/crosslinking process, either induced by UV radiation (λ=365 nm), or by electron beam exposure of polypropyleneglycoldiacrylate monomers, in conjunction with the nematic liquid crystal E7, in order to compare the phase diagrams, kinetics of polymerization and electro-optical properties of the obtained Polymer dispersed liquid crystals (PDLC) films. The electro-optical responses of various PDLC systems exhibit remarkable differences between UV-cured and analogous Electron Beam (EB) cured samples. It is found that the threshold and saturation voltages are considerably increased in the case of UV-cured systems. Other results involving electro-optical characteristics such as the contrast ratio which is higher for EB-cured systems confirm the higher quality of EB-cured systems in addition to the advantage that EB curing leads to high monomer conversions without a photoinitiator which may act as impurity producing a strong impact on the electro-optical performance of the obtained PDLC’s.

Електро-оптични устройства – приложение на електроннолъчева техника: Течни кристали, дисперграни в полимери (Улрих Машке, Мохамед Бочакур, Язид Деруише, Зохра Буберка). Тази работа е фокусирана върху детайлно изследване на напречно-сшиване на полимерните молекули при полимеризация, индуцирана чрез ултравиолетова радиация (λ=365 nm ) или облъчване с електрони на мономер полипропиленглуколдиакрилат, в смес с нематичен течен кристал Е7, за да се сравнят фазовите диаграми, кинетиката на полимеризация и електро-оптичните свойства на получения дисперсен слой от течен кристал (ДСТК). Електро-оптичните свойства на ДСТК системите са много различни в зависимост от използването на УВ лъчение или електронен сноп. Намерено е, че прагът и напрежението на насищане са значително по-високи в случая на облъчена с УВ лъчение система. Друг резултат относно електро-оптичните характеристики – е например контрастното отношение, което е по-високо за облъчените с електрони системи, в допълнение на преимуществото, че електронното облъчване води към висока конверсия на мономера без фотоинициатор, който може да действа като източник на замърсявания, оказващ силно въздействие на електро-оптичните характеристики на получените ДСТК.

Introduction Polymer Dispersed Liquid Crystals (PDLC’s)

have been developed extensively within the past decades. They are made of micron to submicron sized droplets dispersed in a solid polymer matrix. These systems exhibit a remarkable electro-optical behaviour since they can be switched from an opaque to a transparent state simply by application of an alternative electric field. PDLC films are useful for various applications including optical shutters, privacy windows, telecommunications and information displays. The preparation of these films is often based on polymerization induced phase separation (PIPS) processes induced either by

Electron Beam (EB) exposure or UV light. EB curing leads to high monomer conversion without a photoinitiator which may act as impurity that has a strong impact on the electro-optical performance of the obtained PDLC’s [1-3]. Thiol-enes systems as well as mono- and multi-functional acrylates and methacrylates are the basic monomers used to prepare PDLC’s. During the polymerization reaction, the LC becomes less miscible with the growing polymer, and finally the mixture will separate into two phases. A proper control of the phase separation phenomena of polymer/LC composite systems is necessary to obtain different morphologies, depending essentially on the polymerization conditions [2].

Page 57:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 297

Radiation-Induced Degradation of Two Organic Pollutants from Aqueous Solution on Nanocomposites

Zohra Bouberka, Abdelouahab Nadim, A. Khalil Benabbou, Ulrich Maschke

TiO2 colloid / LDHs clay nanocomposites, prepared by direct coprecipitation method, were used as photocatalysts in the reaction of (3-(4-chlorophenyl)-1,1-dimethylurea) and 4-chlorophenol decomposition in water. Mg2AlCO3 and Mg2FeCO3 were selected like support to different nature of TiO2 colloidal. The incorporation of TiO2 was confirmed by X-ray diffraction and BET specific surface area determination. The photodegradation rate of (3-(4-chlorophenyl)-1,1-dimethylurea (0,0044 min-

1) exceeds that of 4-chlorophenol (0,00075 min-1) under UV light irradiation on Mg2AlCO3 / TiO2, and the photoactivity of the supported catalyst Mg2FeCO3 / TiO2 was much higher for 4-chlorophenol (0,00135 min-1) than for 3-(4-chlorophenyl)-1,1-dimethylurea (negligible). The photodegradation rate of both pollutants using aged TiO2 colloidal particles was faster than that using supported catalysts.

Радиационно-индуцирана деградация на два органични замърсители от воден разтвор на нанокомпозити (З. Буберка, А. Надим, А. Бенабоу, У. Машке). Колоиден TiO2/слоест двоен хидроокис – нанокомпозит, приготвен чрез пряк копреципитатен метод бе използван за фотокатализатор при реакция на (3-(4-хлорфенил)-1,1-диметилурея) и 4-хлорфенолна декомпозиция във вода. Mg2AlCO3 и Mg2FeCO3 бяха избрани като основа за колоиден TiO2 с различна природа. Присъединението на TiO2 бе потвърдено с рентгенова дифракция и Brunauer–Emmett–Teller (BET) определяне на повърхностната площ. Скоростта на фото-деградиране на (3-(4-хлорфенил)-1,1-диметилурея (0,0044 min-1) превъзхожда тази на 4-хлорфенола (0,00075 min-1) под УВ радиация върху Mg2AlCO3/ TiO2, и фото-активността на катализа Mg2FeCO3 / TiO2 беше много по-висока за 4-хлорфенол (0,00135 min-1) отколкото за 3-(4-хлорфенил)-1,1-диметилуреята (пренебрежително малка). Скоростта на фото-деградация на двата замърсителя, използващи остарели TiO2 колоидни частици бе по-бърза отколкото тази, използваща катализ върху основа.

Introduction Numerous attacks are possible in nature, limiting

the growth of plants: insect pests, diseases (fungi, bacteria, viruses), weeds [1].

The pesticides belong to the category of chemicals used worldwide as herbicides, insecticides, fungicides, rodenticides, molluscicides, nematicides, and plant growth regulators in order to control weeds, pests and diseases in crops as well as for health care of humans and animals. A rapid emergence in pesticide use began mainly after World War II with the introduction of DDT (dichlorodiphenyltrichloroethane), BHC (benzene hexachloride), aldrin, dieldrin, endrin, and 2,4-D (2,4-dichlorophenoxyacetic acid) [2]. Herbici- des are applied for pre- or post-emergence control of weeds in cotton, fruit or cereal production, and also on non-cultivated areas such as roads and railways. They act as inhibitors of photosynthesis. In general, these

chemicals are characterized by long lifetimes in the environment and thus can be found as contaminants of surface and groundwater [3].

Among the herbicides, monuron and 2.4 dichlorophenol are already reported to be possibly carcinogenic for humans [4]. The other herbicides could also cause serious damage to humans [5].

The presence of herbicides in groundwaters, surface waters, effluents of wastewater treatment plants and other sources of drinking water indicates that conventional biological treatment processes are very slow or non-destructive for these kinds of compounds. A promising way to perform the mineralization of these type of substances is the application of advanced oxidation processes (AOP), that are characterized by the “in situ” production of •OH radicals under mild experimental conditions [6]. The photocatalysis has been successfully used for degradation of pesticides [7], polycyclic aromatic

Page 58:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 303

COMPLEMENTARY TECHNOLOGIES BASED ON USE OF ELECTRONS, IONS, PLASMA AND LASERS

Non-Vacuum Electron Beam Cutting - a New High Performance

Process

T. Hassel, N. Murray, A. Beniyash, N. Rempe, S. Kornilov

The electron beam is a tool with high energy conversion efficiency which is by and large independent of the type of metal. Its high power density qualifies the non-vacuum electron beam as an outstanding energy source for the widely used NVEB welding as well as for a new high speed cutting tool. Experimental results for a new cutting process using the suction created by a local low vacuum underneath the work piece are shown. A co-moving cutting head with a sliding seal has been produced tested. Extremely high cutting speeds such as 17 m/min for 2.5 mm thick stainless steel producing high quality edges render this method a significant development for new NVEB applications. NVEB cutting and subsequent welding can be done on one integral machine realizing a fast and efficient twin process. Due to direct removal of fumes and dust, NVEBC with local suction is an exceptionally clean and fast process. Moreover, results of preliminary experiments are presented for a 60 kV glow discharge electron beam gun which was projected into open atmosphere, i.e. non-vacuum conditions. The potential directions of development of non-vacuum electron beam technologies using PC gun technology are discussed.

Извън-вакуумно електроннолъчево рязане-един нов високопроизводителен процес (Т. Хассел, Н. Муррай, А. Бениаш, Н. Ремпе,С. Корнилов). Електронният лъч е инструмент с висока ефективност на преобразуване на енергията, при практическа независимост от типа на метала. Голямата плътност на мощност класифицира извън-вакуумния електронен лъч, като изключителен енергиен източник за широки приложения като извън-вакуумно електроннолъчево заваряване и като нов високоскоростен източник за рязане. Показани са експериментални резултати за новия процес на рязане, използващ всмукване на течния метал, постигнато с локален нисък вакуум, отдолу на образеца. Тествана е едновременно движеща се режеща глава с плъзгащо се уплътнение. Много висока скорост на рязане, например 17 m/min за 2.5 mm дебела неръждаема стомана с висококачествени ръбове позволява този метод да се прилага при много нови приложения. Извън-вакуумното рязане и последващо заваряване може да се прави на една интегрална машина реализираща бърз и ефективен двоен процес. Поради едновременното отнемане на опушването и прашинките, извън-вакуумното електроннолъчево заваряване с локално всмукване е изключително чист и бърз процес. Нещо повече, резултатите от предварителните експерименти са представени за 60 kV пушка с тлеещ разряд, която бе използвана за създаване на сноп в откритата атмосфера, т.е. извън вакуума. Дискутирани са потенциалните направления на прилагането на извън-вакуумните електроннолъчеви технологии с компютърно управление.

Introduction The high efficiency of energy conversion of an

electron beam makes it a valuable tool for any kind of thermal treatment of metal. The electron beam is routinely used for welding in vacuum, in low

pressure and under atmospheric conditions. In all cases, the electron beam is inevitably generated in high vacuum. The welding process at atmospheric conditions is known as non-vacuum electron beam welding (NVEBW). Differentially pumped pressure

Page 59:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 310

Electron Beam Micromachining of Plastics

Libor Dupák

This paper describes some possibilities of using electron beam welder to machine plastics. Several different types were tested including PC, PMMA, PVC, PTFE, PE and PP. For initial study how certain material reacts to the electron beam, the sets of lines were cut onto the surface. Lines were done by the deflection system by the electron beam with different parameters. The dimensions of the lines (depth, width, ridge height) were measurement. However, that was not always possible due to melted material refilling the grooves.

For further study of surface structuring a testing pattern was used. It consisted of 6×10 squares, each 1×1 mm in size. The squares were engraved line by line with pitch of 0.083 mm (i.e. 12 lines/mm). The intensity of the colour of the square determined the speed of the beam movement, thus the melted volume. This way a stepped structure was created. Profile of the engraved structure was measured on the contact profilometer. Depth of the steps ranges from several to hundreds micrometers depending on the beam speed and the plastics.

Електроннолъчева размерна обработка на пластмаси (Либор Дюпак). Тази работа описва някои възможности за използване на машина за електроннолъчево заваряване да обработва размерно (реже, пробива, фрезова) пластмаси. Няклко различни типове бяха тествани включително PC, PMMA, PVC, PTFE, PE и PP. Първоначално - за изясняване как всеки материал реагира на електронно облъчване, серия линии бяха фрезовани върху повърхността. Линиите се правеха от отклоняващата система със снопове с различни параметри. Размерите на линиите (дълбочина, ширина, височина на ръба) бяха измерени. Това не бе във всички случаи възможно, понеже стопен материал запълваше отново каналите.

Понататък бе използван един тестов рисунък. Той съдържа 6×10 квадрата, всеки с размери 1×1 mm. Квадратите се гравираха линия след линия на разстояние 0,83 mm (т.е. 12 линии/ mm). Интензитетът на цвета на квадрата определя както скоростта на преместването на лъча, така и обема на стопения метал. По този начин бе създадена една стъпало-образна структура. Профилът на получената структура бе измерен с контактен профилометър. Дълбочината на стъпалата се намира в областта от няколко до стотици микрометри в зависимост от скоростта на лъча и от пластмасата.

Introduction The name “plastics” generally refers to a variety of

synthetic or semisynthetic polymeric materials with various properties. The electron beam is often used to drilling and machining of various kinds of materials, both metals [1] and non-metals [2]. The plastics were neglected, mainly because they are insulators and contain a lot of gasses that get released when heated. The aim of this work was to find out some basics about machining of the plastics by the electron beam.

Experiments The presented experiments were performed on the

desktop electron beam welder MEBW-60/2 [3], [4]

developed at the Institute of Scientific Instruments AS CR, v.v.i. at Brno. It is also manufactured and sold by the Focus GmbH company [5] under licence. Our EB welder operates with accelerating voltage of up to 60 kV. The maximum power is 2 kW (33 mA at 60 kV or 40 mA at 50 kV). However, in the presented work only low beam powers were used (up to 1.0 mA at 50 kV).

The welder can be fully computer-controlled, including welding along a preset trajectory [6]. The software also includes diagnostic functions that allow easy measurement of the beam width using a Faraday cell.

For the initial study how certain plastics react to the electron beam, the sets of lines were cut onto the

Page 60:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 315

Electron Beam Sintering of Ceramics

А. S. Klimov, А. А. Zenin, Е. М. Oks, О. L. Khasanov, E. S. Dvilis, A. О. Khasanov

Results on application of electron beam in fore-vacuum for ceramic sintering are presented. Three types of ceramic were investigated: aluminum oxide Al2O3, zirconium oxide YSZ and silicon carbide SiC. Different behavior for all these materials is observed. Al2O3 tends to grain size growth during sintering. On the contrary, grain size of YSZ decreases with sintering duration. Both these materials become more dense after sintering. At the same time the structure of SiC becomes more porous. Properties differ significantly along the sample. Electron beam sintering is carried out at lower temperatures than usual sintering in furnace. Електроннолъчево синтероване на керамика (А. Климов, А. Зенин, Е. Окс, О. Хасанов, Е. Двилис, А. Хасанов). Представени са резултати от синтероването на керамика чрез приложение на електронен лъч при форвакуум. Изследвани са три типа керамика: алуминиев оксид Al2O3, циркониев оксид YSZ и силициев карбид SiC. Наблюдавано е различно поведение на всички тези материали. Al2O3 клони към нарастване на размера на зърната по време на синтероването. Обратно, размера на зърното на YSZ намалява по време на синтероването. И двата материала стават по-плътни след синтеруване. В същото време структурата на SiC става по-пореста. Свойствата се различават значимо по протежение на образеца. Електроннолъчевото синтероване се провежда при по-ниски температури от типичното синтероване в пещ.

Introduction The present stage of development of the electron-

beam technology is characterized by search of its new applications. One of them – electron beam sintering of ceramics. In works known so far sintering of ceramics was made by an electron beam with energy in some MeV [1] thanks to what electron free path approximately corresponded to thickness of samples. The used equipment is expensive and demands high personnel qualification. Application of electron beams with average energies (unit and ten kilo-electron-volt) for sintering of non-conducting ceramics is connected with a problem of a charge accumulation and braking of a beam [2]. The specified problem managed to be overcome creation of the electron gun, capable to form an electron beam with energy 5-15 keV in a forevacuum, i.e. in the pressure range of 5-20 Pa [3]. The purpose of the work consisted in research of possibility of electron beam sintering for oxide (Al 2O3, YSZ) and carbide (SiC) ceramics.

Experiment description Sintering was carried out on installation

schematically represented in Оig. 1. On opposite walls of a vacuum chamber 1 two identical electron guns 2 supplied with systems of focusing and a deviation 3

were established. Their thermal protection was carried out by screens 4. As object for sintering the samples pressed from ceramic powder in the form of disks with a diameter 15 and 3 mm thick were used. For uniform heating of a sample radiation was carried out from two sides by identical electron beams 5. The sample 6 was located on a graphite crucible 7 in special holder and settled down in the plane of a perpendicular axis of electron beams 5. For visual observation through window 8 two mirrors 9 were mounted in the vacuum camera.

1

4

9

3

2

5

6

7

4

3

2

10

8 Fig. 1. Sintering scheme (top view): 1 – vacuum chamber,

2 – electron guns with the hollow cathode, 3 – deviation systems, 4 – thermo screen, 5 – electron beam, 6 –

compacted sample, 7 – graphite crucible, 8 – observation window, 9 – mirrors, 10 – pyrometer.

Page 61:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 319

The Microstructure of the Surface Layers by Laser and Laser-Miсroplasma Alloying

Volodymyr D. Sheliagin, Victor M. Spivak, Artemii V. Bernatskyi, Mihai S.Tirsu

Considered are the technologies of laser and laser-microplasma alloying of surface layers of 38KhN3MFA structural steel specimens with introduction of powder filler materials based on tungsten and chromium carbide, promoting increase of physical-chemical properties of the parts, manufactured from these steels. Structural transformations, concentration variations and reasons of crack formation in treated surface layers were investigated at different modes of alloying using the methods of light microscopy and analytic scanning electron microscopy. It is shown that susceptibility to crack formation in laser and laser-microplasma alloying of specimens of 38KhN3MFA steel is caused, first of all, by structural (size of crystalline particles, coefficient of their shape) and concentration variations, related with redistribution of the elements, in particular, chromium, that results in formation of grain boundary concentration gradients. Absence of microcracks in a fusion zone at laser-microplasma method of alloying allows making a conclusion about perspective of application of this method for surface treatment of parts, manufactured from 38KhN3MFA steel.

Микроструктурата на повърхностните слоеве при лазерно и лазерно-микроплазмената легиране (Володимир Д. Шелягин, Виктор М. Спивак, Артемий В. Бернацкий, Михай С. Тыршу) Разгледани са технологиите на лазерно и лазерно-микроплазмено легиране на повърхностните слоеве на 38KhN3MFA структурни образци стомана с въвеждане на прахово- запълващи материали на основата на волфрамов карбид и хромов карбид, с което се постига увеличаване на физико-химичните свойства на части, произведени от тези стомани. Структурните трансформации, вариациите на концентрация и причините, свързани с образуване на пукнатини в третираните повърхностни слоеве са изследвани при различни режими на легиране с използване на методите на светлинната микроскопия и аналитичната сканираща електронна микроскопия. Показано е, че чувствителността към образуване на пукнатини при лазерно и лазерно-микроплазменото легиране на образци на 38KhN3MFA стомана се дължи на първо място, на структурни промяни (размер на кристалните частици, коефициент на формата) и на вариации на концентрацията, свързани с преразпределение на елементите, по-специално на хрома, което води до образуване на концентрационни градиенти на границите на зърната. Липсата на микропукнатини в зоната на синтез при лазерно-микроплазмения метод на легиране позволява да се направи заключение за перспективата на прилагане на този метод за третиране на повърхностите на части, произведени от 38KhN3MFA стомана.

Introduction General tendencies for development of current

machine building lie in intensifying of the production processes due to increase of main technological parameters (temperature, pressure, concentration of reacting substances, etc.). This provides for a rise of requirements to safe operation of equipment, mechanisms and machines. Constant reduction of weight and dimensions of mechanisms and increase of their power result in rise of loads and stresses in the parts, that, in turn, require development and shifting to

new, safer structural materials. Today, bulk alloying of steels becomes uneco-

nomical due to large consumption of expensive materials [1]. However, level of service properties of the unalloyed steels is not sufficient for their application in current industry. One of the solutions is an application of surface alloying of steels and alloys, that allows receiving the necessary surface properties at minimum consumption of alloying elements.

Most of the traditional methods of surface alloying are based, as a rule, on the processes of diffusion saturation with elements from gaseous or liquid phase

Page 62:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 324

Laser Scalpel

Viktor M. Spivak, Vladislav Y. Khaskin, Mikhay S. Tirshu

It is shown that the laser scalpel can easily and without any mechanical force cut both soft and hard (bone) living tissue. This is a low-impact operation with cutting width - 0.1 ... 0.5 mm. Evaporation mechanism of laser damage of biological tissues, which lead to instant blood vessels coagulation, causes no bleeding.

Лазерен скалпел (Виктор Спивак, Владислав Хаскин, Михай Tирсу). Показано е, че лазерният скалпел може лесно и без никаква механична сила да реже мека и твърда (кости) жива тъкан. Широчина на рязане е от 0,1 до 0,5 mm. Механизмът на лазерното рязане минимално уврежда биологичните тъкани около среза, а коагулацията на кръвта в прерязаните кръвоносни съдове спира кървенето в областта на лазерния срез.

Introduction With the improvement of laser technology and the

development of laser cutting, the idea of creating a laser scalpel has come. Back in the 1960s, it was found that a focused laser beam allows you to make bloodless cuts of living tissue. In the process of the incision at the edges of the wound occurs their coagulation, which "brews" the blood vessels along the way of the cut [1]. Another advantage of using laser in surgery is its transparency, which allows the doctor to see the operable place well. Conventional scalpel blade always obscures the surgeon operating areato some extent. Non-contact laser influence is also an important issue. Radiation dissects tissue within a distance, has no mechanical pressure and does not require sterilization. With laser surgery, the surgeon does not necessarily have to hold the cutting tissue by hand or any tool [2]. Another advantage of using laser radiation is high localization of its action. Tissue vaporization occurs only in the focal zone, which is a fraction of a millimeter in size. According to some researchers, the adjacent tissue sections are damaged considerably less than when using a conventional scalpel. As clinical practice shows, the cut from a laser scalpel heals faster. According to some reports, the healing process is increased twice. [3]

Works on the creation of a laser scalpel for soft tissues surgery started a long time ago - since the 1960s. They have been and are still conducted in all the developed countries of the world. For example, Moscow Research Cancer Institute studied the possibility of using laser radiation in clinical oncology since 1965 [4]. In the 1980s, a number of operations

with the use of a laser scalpel were conducted there. Laser scalpel models "Razbor" and "Raduga-1-F" were developed and tested. Research and production firm LINLINE was created in Germany in 1994. It is the one of the largest companies in the production of laser medical equipment, including laser scalpels. [5] New development (2012) of the Institute of Physics, National Academy of Sciences of Belarus is a laser scalpel, which combines three lasers, where one (pulsed solid-state one) is used as a primary energy source, performing the pumping of the another (femtosecond) laser [6]. This construction allows getting an ultra short laser pulse duration of 30 ... 50 femtoseconds, which opens new perspectives in laser surgery. This implies that the task of creating a laser scalpel is still relevant.

The purpose of this paper is to analyze the perspectives of using laser in surgery and to develop a universal laser scalpel, which would allow to cut both soft and hard (bone) living tissue without any mechanical force.

Laser scalpel Historically, the first laser to be applied for laser

surgery was a CO2 laser with a wavelength of 10.6 microns. The laser of this type is well absorbed by biological tissues containing water, since water is not transparent at this wavelength. CO2 was situated in the operating room next to the operating table, or in the next room with a laser radiation supply directly to the table. The first option is used nowadays. It has become possible owing to modern compact constructions of medical lasers and it significantly

Page 63:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 329

Pulse Width Dependence of the Self-Ignited Plasma Using a Plasma-Based Ion Implantation

Kazuhiro Shimono, Nobuyuki Fujimura, Hiromitsu Noguchi, Hiroshi Toyota, Yoshito Shirai, Takeshi Tanaka, Katia Vutova

Plasma based ion implantation (PBII) with high negative pulsed voltage applied to the test specimen has been applied to the sterilization process as a technique suitable for three-dimensional work pieces. We found that the PBII process reduced the numbers of active Bacillus pumilus cell (The number of bacteria survivors was reduced by 105 with 5 min exposure) using N2 gas self-ignited plasma generated by only pulsed voltages. In this study, the PBII methods were compared using Ar gas and N2 gas for the minimum voltage necessary for the self-ignition plasma generation. After this, we examine a generation condition of the plasma, used for a sterilization process.

Зависимост на ширината на импулсите на самозапалването на плазма при йонна имплантация, използваща плазмата (К. Шимоно, Н. Фуджимура, Х. Ногучи, Х. Тойота, Й. Шираи, Т. Танака, К. Вутова). Йонна имплантация, използваща плазмата при прилагане на отрицателно импулсно напрежение, бе приложена за стерилизация, като техника подходяща за 3D образци. Процесът редуцира количеството активни Бацили Пумилас с 105 при 5 мин. eкспониране, използвайки N2 самозапалена плазма, генерирана само от импулсно напрежение. В тази работа се сравняват резултати при използване на Ar и N2 за минималното напрежение, необходимо за генериране на самозапалена плазма. След това ние изследваме едно условие на генериране на плазма използвана за процес на стерилизация.

Introduction Conventionally there is ethylene oxide gas

sterilization or high-pressure steam sterilization as a sterilization method that has been used primarily in the medical field or the manufacturing of medical products. Electron beam sterilization and radiation sterilization are employed industrially.

On the other hand, there is a low-temperature gas plasma sterilization method using hydrogen peroxide as a disinfectant which is known to be able to inactivate bacterial spores and is used for fast sterilization, pollution-free, and for low-temperature sterilization device. However, a harmful phenomenon of the exposure of hydrogen peroxide to a healthcare worker is confirmed by sterilization using hydrogen peroxide gas. Furthermore, it has been pointed out that it is possible for the patient to be exposed to hydrogen peroxide remaining behind in a sterilized medical appliance. [1, 2].

Plasma-based ion implantation (PBII) is a technique used to modify the surface of samples by immersion in plasma and application of a negative bias voltage to the target. Currently, the sterilization

process using a new method PBII as sterilization processes which can be low-temperature and short-time sterilization has been attempted [3].

There are modulator technology and plasma generation technology as elements of technology of plasma ion implantation. The modulator technology is one of the important techniques in the plasma ion implantation to affect the formation of the ion sheath at the board surface and the behavior of the ion in a formed sheath directly [4].

In this study, plasma-based ion implantation using N2 gas and Ar gas in (PBII) method is discussed and is compared to the minimum voltage required to turn on the self- ignition plasma.

Experimental Fig. 1 shows a schematic diagram of the

experimental apparatus. Stainless steel chamber material of the device is (SUS). The height is 450 mm, the width is 590 mm, the depth is 470 mm. SUS -made electrode is insulated from the chamber which is grounded and is placed at the center of the chamber. The high pressure pulse modulator (Kurita mill) which is used in this experiment has frequency up to 1000

Page 64:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 334

Preliminary Estimation of Incident Ion Energy

by Using Simulation Software (PEGASUS)

Hideaki Kozai, Nobuyuki Fujimura, Mitsuhiro Noguchi, Hiroshi Toyota,

Yoshito Shirai, Takeshi Tanaka, Katia Vutova

Plasma base ion implantation (PBII) with self-ignited plasma generated by only pulsed voltages to the test specimen has been applied to the sterilization process. We found that the PBII process reduced the numbers of active Bacillus pumilus cells using N2 gas. As the ion energy is the most important processing parameter, a discussion about the implanted surface is presented through measurements data using the Secondary Ion Mass Spectroscopy (SIMS). In this study, we simulated the incident ion energy to a target by the simulation software (PEGASUS). We compared the measurement data of SIMS and the simulation results. We were able to confirm correlation between these two pieces of data.

Предварителна оценка на проникващата йонна енергия с помощта на симулационната програма ПЕГАСУС (Х. Козаи, Н. Фужимура, М. Ногучи, Х. Тойота, Й. Шираи, Т. Танака, К. Вутова). Базирана на плазма йонна имплантация със самозапалваща се плазма бе приложена за процес на стерилизация. Открихме, че процеса редуцира количеството на активните Бацили пумилас, използвайки азот като плазмо-образуващ газ. Тъй като йонната енергия е най-важния параметър на процеса, обсъждането на имплантираната повърхност е представено чрез измерените данни с използване на йонна масс-спектроскопия (СИМС). В това изследване ние симулираме началната йонна енергия при мишената със симулационна програма ПЕГАСУС. Ние сравняваме измерените данни от СИМС и симулираните ресурси. Ние бяхме способни да потвърдим корелацията между тези данни.

Introduction Plasma-based ion implantation (PBII) method is

used to perform surface modification by the sample in the plasma and applying a high voltage negative pulse voltage. It has been applied to surface modification industrial machinery parts, metal material, and medical technology.

Sterilization process using a self- ignition plasma by PBII method has been attempted. It was found that by using N2 gas PBII process it is possible to reduce the number of Bacillus pumilus activity. It has been observed that the number of bacteria is reduced with the increase of pulse voltage. The number of viable bacteria was reduced by 5 orders of magnitude at 15 kV compared to the number of untreated bacteria. Due to the importance of the application of this method in the sterilization process, PBII method is investigated in [1].

In order the examine the mechanism through which the number of viable cells decreases in this

work are presented and discussed results for ion implantation depth profiles and the energy of the implanted ions in sterilization is evaluated.

Experiments

Fig. 1. Schematic diagram of experimental apparatus

Page 65:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-8/2014 339

Comparison of Plasma Spectral Characteristics Using a Plasma-Based Ion Implantation

Hiromitsu Noguchi, Nobuyuki Fujimura, Kazuhiro Shimono, Hiroshi Toyota,

Yoshito Shirai and Takeshi Tanaka

Plasma-based ion implantation is a recently developed technique used to modify the surface of materials by immersion in plasma coupled with the application of a negative bias voltage.

In this study, we compare the properties of the plasma spectrum with respect to the change in applied voltage as well as the difference in the plasma generation method used.

Spectra of 428 nm and 656 nm show the generation of Ar ions.[1] In addition, the strength of a spectrum emitted by the ion is proportional to the number of the generation of the ion. Comparisons of these spectra suggest that the number of ions increases in line with an increase in voltage. Moreover, our findings suggest that the number of ions increase for a combination of RF burst plasma and self-ignition plasma compared with the number of ions for only self-ignition plasma.

It was shown that we could estimate the property of the plasma ion by emission spectrum.

Сравнение на спектралните характеристики при използване на базирана на плазма йонна имплантация (Х. Ногучи, Н. Фужимура, К. Шимоно, Х. Тойота, Й. Шираи, Т. Танака). Базираната на плазма йонна имплантация е техника, разработена в последно време, която се използва за модификация повърхността на материалите чрез потапяне в плазма и съединение на отрицателен потенциал. В това изследване ние сравняваме особеностите на плазмения спектър, при промяна на приложеното напрежение, както и на начина на генериране на плазмата. При 428 nm и при 656 nm спектъра показва наличие на Ar йони. В допълнение, силата на емитирания спектър от йоните е пропорционален на числото генерирани йони. Сравнението на спектрите показва, че числото йони нараства линейно с увеличение на напрежението. Нещо повече, ние намерихме, че броят йони расте за комбинация от ВЧ разрядна плазма и само-запалваща се плазма сравнено с броя йони само за само-запалваща се плазма. Показано е, че оценка на свойствата на плазмените йони от емисионния спектър.

Introduction The gas-sterilization methods currently used at

hospitals include ethylene oxide gas sterilization and hydrogen peroxide gas sterilization. However, residual traces of chemical substances that have an adverse affect on the human body remain a problem with ethylene oxide sterilization.[2] In the case of hydrogen peroxide sterilization, there is a problem in that the process takes around 90 minutes. In addition, the sterilization of items such as fiber gauze, cotton, artificial blood vessels, and felt is difficult, and hydrogen peroxide—an expendable supply in the process—is expensive.

As a substitute sterilization method, the plasma-based ion implantation (PBII) method is tried. In the

PBII method, an ion and a radical are produced by applying a negative high-pressure pulse voltage to an item and the reaction by it inactivates bacteria spore. In this study, the methods of generating plasma suitable for sterilization using the PBII method was evaluated use emission spectroscopy.

Experimental A schematic of the experimental setup is shown in

Fig. 1. The chamber measures 450 mm in height, 590 mm in width, 470 mm in depth. The RF antenna (manufactured by American North Star Inc.) is a copper coil with five windings, and a diameter of approximately 250 mm. The RF antenna is electrically insulated from the chamber by glass, and this coiled

Page 66:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 343

Spore-Forming Bacteria Sterilization Using Plasma-Based Ion Implantation

Koji Kakugawa, Masatoshi Kubo, Hiromitsu Noguchi, Kazuhiro Shimono, Nobuyuki Fujimura, Yoshinobu Tsuchiya, Takeshi Tanaka

A main purpose of sterilization is to kill the harmful microorganisms. In food industry, the representatives of microorganisms which are difficult to sterilize are spore-forming bacteria. Because these bacteria are extremely heat resistant. So it is no exaggeration to say high-pressure / high temperature sterilization method is the only method to sterilize spore-forming bacteria. By the way, plasma sterilization method is used to sterilize the medical equipment. However the present plasma sterilization method cannot be used for food because of containing hydrogen peroxide.

In this study, the authors tried to sterilize Bacillus subtilis which is model bacteria of spore-forming bacteria using plasma-based ion implantation (PBII) method which doesn’t use hydrogen peroxide. The result of experimentation, the living spore reduced from 1x108 cfu/ml to 7.8x104 cfu/ml with 20 min exposure. The authors showed that PBII treatment without hydrogen peroxide might be able to sterilize the heat tolerance spores.

Стерилизация на споро-образуващи бактерии с използване на плазма-базирана йонна имплантация (К. Какугава, М. Кубо, Х. Погучи, К. Шимоно, Н. Фуджимура, Й. Цучия, Т. Танака). Главната цел на стерилизацията е да убие вредните микроорганизми. В хранителната индустрия, представители на микроорганизмите, които е трудно да бъдат стерилизирани са споро-образуващите бактерии, защото тези бактерии са екстремно устойчиви при нагряване. Така не е преувеличено да се каже, че методите на стерилизация с високо-налягане и висока температура са единствени за стерилизиране на споро-образуващи бактерии. Впрочем, плазмен метод на стерилизация се използва за стерилизиране на медицински инструменти. Обаче, сегашните плазмени-стерилизационни методи не могат да се използват за храни, защото се използва водороден пероксид.

В тази работа авторите опитват да стерилизират Бацилиус сибтилис, който е моделна бактерия на споро-образуващи бактерии, с йонна имплантация, базирана на плазма, при който не се използва водороден пероксид. Резултатите от експериментите представят, че след 20 минутно експониране живеещите спори намаляват от 1х108 cfu/ml до 7.8х104 cfu/ml. Авторите показват, че с йонна имплантация, базирана на плазма без използване на водороден пероксид е възможно да се стерилизират издръжливи на нагряване спори.

Introduction In most of the food industries, food products have

been sterilized by moist heating (boiling or retort treatment). However, the excessive thermal conditions for ensuring the safety of microbial spoilage degrade the quality of products. Spores of Bacillus genus produce highly thermotolerance spores, and those spores often spoil heat sterilized foods. Bacillus genus is widely distributed in nature, and commonly associated with a variety of food products. Spore formation ability allows these

bacteria to survive in the environment and provides them with resistance to pasteurization treatments. Therefore, many studies on thermal sterilization of foods have conducted.

A very diverse range of pasteurized food products is now available to the consumer and with world-wide preferences for more highly spiced and flavored foods, these products are likely to contain many different ingredients which could be contaminated with Bacillus species. However, powdery spices are difficult to sterilize by using thermal sterilization methods. So it is expected to develop the newly

Page 67:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 348

Estimation of Self-Ignited Plasma Density Using Plasma-Based Ion Implantation

Nobuyuki Fujimura, Kazuhiro Shimono, Hiromitsu Noguchi, Hiroshi Toyota, Yoshito Shirai, Takeshi Tanaka

Plasma density is estimated from the target voltage and current characteristics of the pulse modulator circuit in plasma-based ion implantation. The voltage recovery time constant directly reflects the ion sheath characteristics, and the sheath resistance is related to the ion density inside the transient sheath. The stationary current also provides information on the sheath parameters. From these viewpoints, we propose a method to estimate plasma density by equating the circuit. The plasma density can be obtained only by monitoring the voltage-current waveforms on an oscilloscope display, and the obtained ion density can be converted into plasma density using a continuity equation. The conventional method uses the dual structure of RF plasma and self-ignition plasma. In this study, the plasma density is calculated using only self-ignition plasma.

Оценка на плазмената плътност при самозапалване на плазма предназначена за йонна имплантация (Н. Фуджимура, К. Шимоно, Х. Ногучи, Х. Тойота, У. Шираи, Т. Танака). Плътността на плазмата е оценена от напрежението на мишената и токовите характеристики на импулсната модулаторна верига в базирана на плазма йонна имплантация. Константата за възстановяване на напрежението директно отразява параметрите на йонната обвивка, като съпротивлението е свързано с йонната плътност във временната обвивка. Стационарния ток също предоставя информация за параметрите на обвивката. Ние предлагаме метод за оценка плазмената плътност от уравненията на веригата. . Плазмената плътност може да се получи само от наблюдаваните волт-амперни форми на екрана на осцилоскопа и получената йонна плътност може да се преобразува в плазмена плътност използвайки уравнението за непрекъснатост. Конвенционалният метод използва двойна структура на РЧ плазма и само-запалваща се плазма. В това изследване плазмената плътност се пресмята използвайки само само-запалваща се плазма.

Introduction Plasma ion implantation was proposed by J.R.

Conrad in the 1980s [1]. Plasma-based ion implantation (PBII) is a recently developed technique which modifies sample surface using sheath formed along sample in a plasma applied negative high pulse voltage. Since sheath was formed along surface structure of sample, ions can be uniformly injected into the sample surface. PBII with good controllability is effective as a surface treatment method for samples with wide variety shapes.

Conventionally, the plasma sterilization process at hospitals uses hydrogen peroxide gas. This method achieves sterilization by the oxidation reaction of high concentration hydrogen peroxide. Currently, plasma

ion implantation is used to sterilize surgical instruments. The sterilization process using plasma ion implantation is composed of the following elements.

(1) Generation of ions using plasma (2) Acceleration of the generated ions (3) Interaction between samples and ion Therefore, it is important to control ion sheath at

sample surface, the quantity and energy of ions in an ion sheath [2].

In this paper, the density of ions in the sheath formed by self-ignition plasma for PBII was calculated using the basis of modulator circuit. The plasma density and the length of sheath of self-ignition plasma were also calculated.

Page 68:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

“E+E”, 5-6/2014 352

Micro-Arc Oxidation of Aluminum Alloy

E. Krivonosova, A. Gorchakov, I. Ponomarev

Micro-arc oxidation (MAO) technology allows getting ceramic coatings of Al2O3 with composition, structure and properties controlled over a wide range and using them as wear-resistant, corrosion- resistant, heat- resistant and decorative coatings. This paper studies the dynamics of micro-arc discharges, the influence of time processes and electrolyte systems on the MAO process of aluminum alloy, micro-structure and properties of the formed coating. The possibilities of alloying through the electrolyte with the micro-arc oxidizing are investigated.

Микро-дъгово оксидиране на алуминиеви сплави (E. Кривоносова, А. Гончаков, И. Пономарев). Технологията на микро-дъгово оксидиране (МДО) позволява получаване на керамично покритие от Al2O3 със състав, структура и свойства управляеми в широк диапазон и използването му като устойчиви на триене, корозия и нагряване покрития, както и като декоративни покрития. Тази работа изучава динамиката на микро-дъговите разряди, влиянието на процесите зависими от времето и на електролитните системи върху МДО процесите на повърхността на алуминиева сплав, както и микро-структурата и свойствата на формираните повърхностни слоеве. Възможността за сплавяне през електролита при микро-дъгово оксидиране се изследва също.

Introduction Microarc oxidation ( MAO ) is currently almost the

only possible method of surface treatment of a variety of parts from aluminum and its alloys to produce multifunctional coatings. A distinctive feature is the formation of MAO in the formation of the coating surface microarc discharges, providing thermal, plasma-chemical and hydrodynamic effects on the formation of coatings. Keramik coatings have an order higher microhardness, wear resistance, corrosion resistance, etc. However, the practical application of MAO requires increasing productivity and improving the complex physical and mechanical properties of the coatings. Furthermore, the main problem lies in the micro-arc oxidation coating delivery insufficient thickness of the porous coating, which leads to a reduction or loss of performance properties.

The most accessible ways of increasing the thickness of the oxide layer, and technological factors: current modes, while the coating composition, density and temperature of the electrolyte (works of I.VSuminov, AV Epelfeld, A.I.Gorchakov, G.A.Markov, ES Atroshchanko, AI Mamaev) However, the increase of the current parameters leads to a change in the nature of the discharge, the transition of micro- discharge in the arc without

forming oxidized layer. One way of solving this problem is to change the nature of the pulse current signal, which, in our opinion, may lead to an increase of the effective time of oxidation and, therefore, the thickness and properties of the oxide layer [1-4]. An additional object is achieved by doping the oxide layer through the electrolyte, similarly as in the welding seam takes place the doping of the electrode coating component [5]. To do this, the electrolyte requires the addition of elements that are embedded in the structure of the coating, providing increase in growth velocity coating, its thickness and the formation of unique properties [6].

Method and results. Recent experimental data using a computer

information-measuring system and high-speed filming yielded qualitatively new information. It has been shown that the effective microarc discharge (Fig. 1), leading to the formation of a layer of corundum, exists within a very short time during successive short current pulses whose frequency is 50 Hz.

Physically micro-arc oxidation process is a gradual formation of micro-arcs on the surface and smooth fading in proportion to the instantaneous value of the voltage between the sample and the bathroom.

Micro-arc oxidation process visually within one

Page 69:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

Authors’ Index

Amalnerkar D. 138

Angelov S. 90

Balashov V. 7

Barnes S. 221

Bataev A. 174

Bataev I. 174

Belenkiy V. 22, 30, 34, 90, 97

Belozertsev V. 56

Belyuk S. 269

Bematskyy A. 319

Benabbou A. 297

Bencurova A. 279

Beniyash A. 56, 242, 303

Bludenko A. 150

Bodurov G. 226

Bogdanov V. 56

Bouberka Z. 290, 297

Bouchakoura M.

290

Braverman V. 56

Buxton A. 221

Cherepkov V. 168

Chernogorova T.

132

Chulkov V. 150

Derouichea Y. 290

Dlouhý I. 82

Domarov E. 168

Donchev V. 132, 138

Dong W. 126

Doniy A. 144

Dorl W. 368

Dragunov V. 7, 75, 259

Druzhinina A. 62

Dupák L. 310

Dvilis E. 315

Engelko V. 236 Erofeev V. 68

Fadeev S. 168

Fetzer R. 216 Fietzke F. 183

Foret R. 82

Frikach K. 108

Frumkin A. 157

Fujimura N. 329, 334, 339, 343, 348

Gesheva K. 226

Gnatenko О. 212

Golkovskii M. 174

Golubenko Yu. 168

Goncharov A. 7, 75, 259, 352

Gordenko A. 7

Graffel B. 183

Grechanyuk I. 115, 196, 201, 206

Grechanyuk M. 115

Grechanyuk N. 196, 201, 206

Grechanyuk V. 196, 201, 206

Gribkov M. 75

Gruzdev V. 264

Han B. 163

Hassel T. 242, 303

Havlík P. 82

Heinss J.-P. 183

Herynk M. 372

Houtz J. 108

Ivanova T. 226

Jiang D. 126

Jung S. 163

Kakugawa K. 343

Kardjiev M. 46

Kazmiruk V. 284

Khasanov A. 315

Khasanov O. 315

Khaskin V. 324

Khomenko E. 196, 201, 206

Kim J. 163

Kim Y. 163

Klimov A. 315

Kogut D. 168

Koleva E. 22, 30, 46, 90, 226, 279, 356

Konecnikova A.

279

Korchagin A. 168

Kornilov S. 242, 303

Kostic I. 279

Kouřil J. 82

Kovalchuk D. 115, 122

Kozai H. 334

Kozhechenko A.

7

Page 70:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

Krivonosova E. 352

Kubo M. 343

Kuksanov N. 168, 174, 178

Kurganov I. 284

Laptenok V. 62

Lavruchin A. 168

Marchenko Y. 212

Maschke U. 290, 297

Mattausch G. 183

Melnik A. 196, 201, 206

Melnyk A. 115

Melnyk I. 122, 254

Melnyk V. 115, 122

Metreveli A. 150, 157

Metreveli P. 150, 157

Metzner Ch. 183

Mladenov G. 13, 22, 30, 46, 90, 226, 279

Mueller G. 216

Munirathnam N.

138

Murray N. 242, 303

Murygin A. 62

Nadim A. 297

Nagorniy D. 274

Neailey K. 221

Nemec P. 279

Nemytov P. 168

Neumann M. 360

Noguchi H. 329, 334, 339, 343, 348

Oks E. 315

Olschok S. 40

Olshanskaya T.

97

Osipov I. 269

Permyakov G. 97

Petrik I. 212

Pinto T. 221

Pobal I. 103

Polyakov I. 174

Ponomarev A. 150, 157

Ponomarev I. 352

Portnov M. 259

Pozo S. 249

Radchenko M. 274

Radchenko T. 274

Rau A. 269

Reisgen U. 40

Rempe N. 242, 303

Ribton C. 231, 242, 249

Ritomsky A. 279

Roegner F.-H. 183

Rojkh A. 178

Rubtsov V. 7

Ruktuev A. 174

Salimov R. 168

Salomatova E. 34

Samoilenko V. 174

Savitskaja T. 284

Sanderson J. 374

Schacke H. 368

Scherbakov A. 259

Semenov G. 269

Semenov V. 168

Seregin Y. 62

Shcherbakov A.

7

Sheliagin V. 319

Shevtsov Yu. 274

Shi Sh. 126

Shimono K. 329, 339, 343, 348

Shirai Y. 334, 339, 348

Shiray Y. 329

Sliva A. 7, 75, 259

Smith D. 249

Šohaj P. 82

Spivac V. 319

Spivak V. 324, 356

Stepanov M. 178

Stoimenov A. 138

Stoimenov A. 226

Stolberg I. 368

Sudnik V. 68

Szanto P. 373

Tan Y. 126

Tanaka T. 329, 334, 339, 343, 348

Terentyev E. 75, 259

Tirshu M. 324

Tirsu M. 319

Todorov D. 46, 90

Toyota H. 329, 334, 339, 348

Trushnikov D. 13, 22, 30, 34, 90, 97

Tsaplin A. 34

Tsuchiya Y. 343

Tugai B. 122

Ufer S. 40

Uspenskiy N. 56

Vasilyev A. 68

Vassileva V. 138, 226

Vesely T. 372

Vlasiuk A. 356

Voron M. 144

Vutova K. 132, 138, 226, 279, 329, 334

Weisenburger A.

216

Wen Sh. 126

White J. 108

Winckler F. 183

Yurevich S. 103

Zalesski V. 264

Zenin A. 315

Zhemanyuk P. 212

Zimmermann B.

183

Page 71:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

ЕЛЕКТРОТЕХНИКА И ЕЛЕКТРОНИКА E+E 49 год. 1-2/2014 Научно-техническо списание

Издание на: Съюза по електроника, електротехника и съобщения /CEEC/

Главен редактор:

Проф. дтн Иван Ячев, България

Зам. гл. редактор:

Доц. д-р Сеферин Мирчев, България

Редакционна колегия:

Д-р Владимир Шелягин, Украйна Чл. кор. проф. дфн Георги Младенов, България Проф. д-р Георги Стоянов, България Проф. Юън Ричи, Дания Доц. д-р Захари Зарков, България Проф. Кристиан Магеле, Австрия Проф. Маурицио Репето, Италия Проф. дтн Румяна Станчева, България Проф. Такеши Танака, Япония Проф. Ханес Топфер, Германия Д-р Хартмут Брауер, Германия Акад. проф. Юрий Якименко, Украйна

Консултативен съвет:

Проф. д-р Димитър Рачев, България Проф. дтн Емил Владков, България Проф. дтн Емил Соколов, България Проф. дтн Ервин Фердинандов, България Проф. д-р Жечо Костов, България Доц. д-р Иван Василев, България Проф. дтн Иван Доцински, България Доц. Иван Шишков, България Проф. дтн Людмил Даковски, България Проф. дтн Минчо Минчев, България Проф. дфн Николай Велчев, България Доц. д-р Петър Попов, България Проф. д-р Стефан Табаков, България Проф. д-р Сава Папазов, България

Редактор на броя:

Чл. кор. проф. дфн Георги Младенов

Технически редактор:

Доц. д-р инж. Елена Колева

Адрес:

ул. “Раковски” № 108 ет. 5, стая 506 София 1000

тел.: +359 2 987 97 67 e-mail: [email protected] http://epluse.fnts.bg

ISSN 0861-4717

С Ъ Д Ъ Р Ж А Н И Е Специален брой: Научни статии от 11-та Международна конференция по Електронно-лъчеви технологии – ЕВТ 2014, Варна, БЪЛГАРИЯ Предговор от чл. кор. на БАН, проф. дфн Г. Младенов 4

ЕЛЕКТРОННОЛЪЧЕВО ЗАВАРЯВАНЕ

А. Щербаков, А. Гончаров, А. Козеченко, А. Горденко, А. Слива, В. Балашов, В. Драгунов, В. Рубцов

Модерни проблеми и методи на разработка на системи за електроннолъчево заваряване 7

Д. Трушников, Г. Младенов

Числено моделиране на формирането и параметрите на плазмата, генерирана по време на електронно-лъчево заваряване 13

Д. Трушников, Г. Младенов, В. Бененкий, Е. Колева

Йонен ток, получен от плазмата над зоната на заваряване при електроннолъчево заваряване 22

Е. Колева, Д. Трушников, В. Бененкий, Г. Младенов

Управление на електроннолъчево заваряване на пластини, използвайки тока от обратната страна на пластината 30

Д. Трушников, Е. Саломатова, А. Цаплин, В. Беленкий

Моделиране на процесите на изпарение при електроннолъчево заваряване 34

У.Рейсген, С.Олшок, С.Уфер

Точна диагностика на характеристиките на електронния сноп

40

Е. Колева, Г. Младенов, М. Карджиев, Д. Тодоров

Характеризиране на електронния лъч при промени на параметрите на процеса електроннолъчево заваряване 46

В. Браверман, В. Белозерцев, В. Богданов, Н. Успенский, А. Бенияш

Следене за процепа при електроннолъчево заваряване на въздух…………………………………………………………….56

В. Лаптенок, А. Дружинина, А. Муригин, Ю. Серегин

Page 72:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

Компенсация на ефекта на магнитни полета върху позицията на електронния сноп в процеса на електроннолъчевото заваряване 62

А. Василев, В. Ерофеев, В. Судник

Механизъм на началото на флуктуациите на дълбочината на кратера при заваръчни лъчеви процеси 68

А. Слива, В. Драгунов, А. Гончаров, Е. Терентиев, М. Грибков

Електроннолъчево заваряване на елементи от бланкетния модул на ИТЕР 75

П. Хавлик, П. Сохай, Й. Курил, Р. Форет, И. Длоухи

Електроннолъчево заваряване на неръждаема стомана и феритна стомана 82

Е. Колева, Д. Трушников, В Беленкий, Г. Младенов, С. Ангелов, Д. Тодоров

Моделно базирана оптимизация на качеството при електроннолъчево заваряване на стомана 90

Т. Олшанска, Г. Пермяков, В. Беленкий, Д. Трушников

Влияние на осцилациите на електронния сноп върху формирането на структурата на шева на различни материали на примера на съединението стомана-бронз…………………………………….97

И. Побал, С. Юревич

Електроннолъчево заваряване на свръх-проводящи резонатори, направени от свръх-чист ниобий 103

К. Фрикач, Д. Уайт, Д. Хоутз

Прогноза на частичната дълбочина на проникване в 15-5PH материал при електроннолъчево заваряване 108

ЕЛЕКТРОННОЛЪЧЕВО ТОПЕНЕ И РАФИНИРАНЕ

М. Гречанюк, А. Мелник, И. Гречанюк, В. Мелник, Д. Ковалчук

Модерни електроннолъчеви технологии и оборудване за топене на метали и сплави, за отлагане на защитни покрития, производство на композити, кондензирани от пари и за получаване на прахове 115

В. Мелник, И. Мелник, Б. Тугай, Д. Ковалчук

Последни усъвършенствания в разработката на газоразрядни електронни пушки, обезпечаващи разширение на технологичните им възможности

122

С. Ши, И. Тан, Д. Жианг, У. Донг, С. Уен

Влияние на лъча върху отстраняването на фосфор в силиций чрез електроннолъчево топене 126

В. Дончев, К. Вутова, Т. Черногорова

Икономичен и консервативен числен метод за нестационарен тополинен модел за ЕЛТР 132

В. Василева, К. Вутова, В. Дончев, А. Стоименов, Д. Амалнеркар, Н. Муниратнам

Електроннолъчево топене и рециклиране на никел 138

М. Ворон, А. Доний

Контрол на структурата и свойствата на ляти α-титанови сплави, получени с електроннолъчево топене в собствена кора с електромагнитно разбъркване 144

ELECTRON ACCELERATOR APPLICATIONS

А. Пономарев, П. Метревели, А. Метревели, А. Бледенко, В Чулков

Декомпозиция на растителни биомаси с електроннолъчево облъчване и нагряване 150

П. Метревели, А. Метревели, А. Паномарев

Електроннолъчево третиране на вода, замърсена с хумусни киселини и лигнин 157

Б. Хан, Ж. Ким, Ю. Ким, С. Джунг

Електроннолъчева технология за съхранение на околната среда

163

Н. Куксанов, Ю. Голубенко, П. Немитов, Р. Салимов, С. Фадеев, А. Корчагин, Д. Когут, Е. Домаров, А. Лаврухин, В. Черепков, В. Семенов

Тенденции на разработката на правотокови електронни ускорители за индустриални приложения и изследователски експерименти 168

И. Батаев, М. Голковски, Н. Куксанов, А. Руктуев, В. Самоиленко, И. Поляков, А. Батаев

Повърхностно създаване на титанова сплав с труднотопими елементи чрез извън-вакуумна електроннолъчева обработка

174

Н. Куксанов, А. Рожкх, М. Степанов

Опит от 30-годишното използване на електроннолъчева инсталация в завода „Подолсккабел“ 178

ТЪНКИ СЛОЕВЕ, ОТЛАГАНЕ НА ПРАХОВЕ, МОДИФИКАЦИЯ НА ТВЪРДИ ПОВЪРХНОСТИ

Г. Матауш, Б. Цимерман, Ф. Фиетзке, Й. Хейнц, Б. Граффел, Ф. Винклер, Ф. Роегнер, Х. Метцнер

Газоразрядни източници на електрони – доказани и нови инструменти за тънкослойни технологии 183

Page 73:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

Н. Гречанюк, И. Гречанюк, Е. Хоменко, А. Мелник, В. Гречанюк

Композитни материали с метална матрица, кондензирана от парна фаза: Дисперсионно-уякчени метали 196

Н. Гречанюк, И. Гречанюк, Е. Хоменко, А. Мелник, В. Гречанюк

Композитни материали с метална матрица, кондензирана от парна фаза: Микрослойни материали 201

Н. Гречанюк, И. Гречанюк, Е. Хоменко, А. Мелник, В. Гречанюк

Композитни материали с метална матрица, кондензирана от парна фаза: Микропорести материали 206

П. Жеманюк, И. Петрик, О. Гнатенко, Ю. Марченко

Електроннолъчева обработка на обшивката на горивните камери самолетни мотори, направена от уякчена топло-устойчива хром-никелова сплав

212

Р. Фецер, А. Уейзенбургер, Г. Мюелер

Модификация на повърхностния слой с електронен сноп с голямо напречно сечение 216

Т. Пинто, А. Бъкстон, К. Ниайли, С. Барнс

Подобрения и възможности на повърхностната обработка с електронен сноп 221

К. Вутова, В. Василева, А. Стоименов, Е. Колева, Т. Иванова, Г. Бодуров, К. Гешева, Г. Младенов

Оптично и структурно изследване на WOx тънки слоеве, отложени чрез електронно лъчево изпарение 226

ЕЛЕКТРОННА ОПТИКА, ЕЛЕКТРОННИ ПУШКИ И ЕЛЕКТРОННОЛЪЧЕВИ МАШИНИ

К. Рибтон

Високо-ъглово и високо-интегрално отклонение на снопа 231

В. Енгелко

Източник на радиално-събиращ се електронен сноп за модификация на дълги цилиндрични мишени 236

Н. Ремпе, С. Корнилов, А. Бениаш, Н. Муррай, Т. Хассел, К. Рибтон

Характеризиране на електронен сноп, генериран от пушка с плазмен катод 242

С. дел Позо, К. Рибтон, Д. Смит

Конструиране на електронна пушка с РЧ плазмен катод

249

И. Мелник

Симулиране на времето на нарастване на тока в електронна пушка с импулсен триоден високоволтов тлеещ разряд 254

А. Гончаров, В. Драгунов, А. Слива, М. Портнов, А. Щербаков, И. Чулков

Oценка на параметрите на технологичен електронен сноп на базата на оптичната радиация във вакуум 259

В. Груздев, В. Залески

Електронно-оптични характеристики на снопове, генерирани от плазмени източници на електрони 264

С. Белюк, И. Осипов, А. Рау, Г. Семенов

Електроннолъчеви машини за заваряване с пушки с плазмен катод 269

М. Радченко, Ю. Шевцов, Т. Радченко, Д. Нагорний

Експлоатация на концентрирани енергийни потоци за заваряване и продукция със защитни покрития 274

ЕЛЕКТРОННА ЛИТОГРАФИЯ, ЕЛЕКТРООПТИЧНИ УСТРАЙСТВА И ПОЛИМЕРНА МОДИФИКАЦИЯ

И. Костич, А. Бенкурова, А. Конечникова, П. Немек, А. Ритомски, Е. Колева, К. Вутова, Г. Младенов

Изследване на електронни резисти: негативен HSQ и позитивен SML300 279

В. Казмирюк, И. Курганов, Т. Савитская

Безмасков литографски клъстер за ниско- и средно-серийно производство 284

У. Машке, М. Бочакур, Я. Деруише, З. Буберка

Електро-оптични устройства – приложение на електроннолъчева техника: Течни кристали, дисперграни в полимери 290

З. Буберка, А. Надим, А. Бенабоу, У. Машке

Радиационно-индуцирана деградация на два органични замърсители от воден разтвор на нанокомпозити 297

ДРУГИ ТЕХНОЛОГИИ, БАЗИРАНИ НА ИЗПОЛЗВАНЕТО НА ЕЛЕКТРОНИ, ЙОНИ, ПЛАЗМА И ЛАЗЕРИ

Т. Хассел, Н. Муррай, А. Бениаш, Н. Ремпе,С. Корнилов

Извън-вакуумно електроннолъчево рязане-един нов високопроизводителен процес 303

Page 74:  · 11 th International Conference on Electron Beam Technologies (EBT 2014) 8-12 June 2014, Varna, Bulgaria The Conference is organized by THE INSTITUTE OF ELECTRONICS AT THE BULGARIA

Л. Дюпак

Електроннолъчева размерна обработка на пластмаси 310

А. Климов, А. Зенин, Е. Окс, О. Хасанов, Е. Двилис, А. Хасанов

Електроннолъчево синтероване на керамика

315

В. Шелягин, В. Спивак, А. Бернацкий, М. Тыршу

Микроструктурата на повърхностните слоеве при лазерно и лазерно-микроплазмената легиране 319

В. Спивак, В. Хаскин, М. Tирсу

Лазерен скалпел 324

К. Шимоно, Н. Фуджимура, Х. Ногучи, Х. Тойота, Й. Шираи, Т. Танака, К. Вутова

Зависимост на ширината на импулсите на самозапалването на плазма при йонна имплантация, използваща плазмата 329

Х. Козаи, Н. Фужимура, М. Ногучи, Х. Тойота, Й. Шираи, Т. Танака, К. Вутова

Предварителна оценка на проникващата йонна енергия с помощта на симулационната програма ПЕГАСУС 334

Х. Ногучи, Н. Фужимура, К. Шимоно, Х. Тойота, Й. Шираи, Т. Танака

Сравнение на спектралните характеристики при използване на базирана на плазма йонна имплантация 339

К. Какугава, М. Кубо, Х. Погучи, К. Шимоно, Н. Фуджимура, Й. Цучия, Т. Танака

Стерилизация на споро-образуващи бактерии с използване на плазма-базирана йонна имплантация 343

Н. Фуджимура, К. Шимоно, Х. Ногучи, Х. Тойота, У. Шираи, Т. Танака

Оценка на плазмената плътност при самозапалване на плазма предназначена за йонна имплантация 348

E. Кривоносова, А. Гончаков, И. Пономарев

Микро-дъгово оксидиране на алуминиеви сплави 352

В. Спивак, Е. Колева, А. Власюк

Анализ и обобщение на научнте и методически информационни учебни пособия по наноелектроника 356

ПРЕЗЕНТАЦИЯ НА КОМПАНИИ M. Нойман Von Ardenne GmbH, Дрезден, Германия 360

У. Дорл, Х. Шаке, И. Столберг Литография с различна форма на лъча за микро- и нано-технологии. Vistec Electron Beam GmbH, Йена, Германия 368

T. Весели, M. Херинк Реконструкция на облъчени образци - UJV Řež, a. s. Husinec - Řež, Чехия 372

P. Санто Kurt J. Lesker Co. Ltd. 373

Дж. Сандерсън

TWI Ltd. – Съединяване на материали и инженерни технологии

374

Индекс на авторите 378

Съдържание 380