06250027

download 06250027

of 6

Transcript of 06250027

  • 8/13/2019 06250027

    1/6

    7th IEEE Internationa Symposium on Applid omputationa Intelligence and Informatics May 24-26, 2012 Timioara, Romania

    Simulation Model developed for a SmallScalePV System in Distribution Networks

    C KhCbu" Mh""" F H B POLITEHNICA University/Automation and Computer Science, Timisoara, Romania Denmark Tecnical UniversityElectrical Engineering, Roskilde, Denmarkcosmin koch@aut upt ro; Imih@elektro dtu dk

    Absact-Thi pper preen PV pnel imulion modeuing he ingdiode fourprmeer model ed on dhee vue. The model w impemened r inMTL/Simlink, nd he reu hve een ompredwih he d hee vlue nd hrerii of he PVpnel in ndrd e odiion. Moreover o poin ou herong dependeny on mien ondiion nd i inueneon rry operion nd o vlide imulion reul wih

    meured omplex mode h lo een deveoped. PV iverr model, uing he me equion ndprmeer in MTLB/Simuink h lo eendeveloped nd implemened in PowerFory o udy lodow, edye volge iliy nd dynmi ehvior of diriue power yem.

    I. NODUCON

    Renewable nergy systems are expanding due to noony enviromenta aspec but aso due o social,economical a poliical inerest. The Europea Union isaiming a a specic CO2 reducion in the eecicity secorin the near ture (0 % reduction by 00).This l

    nvolve a signcant owth of PV installation a overEope resuling in a few undred Giga wts of capacity[].

    The increased PV capacity will inuence power systemoperation and esgn. Power supplied om a PV arraydepends most on presen bien conditions such as:adiaton and emperatue [-5].

    PV output olage chges mainly wih temperatewhile PV ouu current canges mainly with irradiation.herefore in oder to develop a very precise simulationmodel the local wind speed nd the sol radiationincidence agle, in terms of the slope d surface azimuth,should be consdered [5-8].

    In order to dermne the houry incident radiation on a

    surface of y orientation i is necessy to evaluate theratio of incident radiation on he tilted sface to that on aorizontal surace considering beam, sky dise andoud reecte riation sepately [3], [6], [9].

    Increased dsibuted generation is becoming moremport in th cuent power system d in the ture iwill rely more on distributed energy resoces d mcroids. The exible micro-grid ha to be able toimporexpor energy o/to the id, conol the activeand reacive power ows and manage of the storageenerg 7, 10, and 14.

    This paper focuses on the simulation models of a smallscale PV Sysem conneced o a disibuted network don improvemets and validating it using experimental

    facility of an active and disributed power systemslaboratoy. In order to nd out the dierences betweenDER componens in power systems and to study theimpact on bus votage and equency the system has also

    been implemented in PowerFactory.

    II. SUED RGY SYSEM CCEXENAL ACL

    Te experimenta system is a aboratory for resech indistrbuted conol and smar grids wih a high share ofrenewable energy production. Its eperimental facility is aWndV!iesel Hybrid Mini-Grid with local storage anda novel conol inascure. The faciliy is spread across

    tee sites located several hundred meters apar.

    It includes two wind rbines (11kW ad 55kW), a PVplnt (7.8 kW), a diesel gen-set (48kW/60kVA), anineligen oce buiding wih conoable loads (up to0kW), a nber of loads (75 kW, 3*36 kW) and aVanadium Batery of 15 kW/190 kWh.

    At each of the tree sites there is a switchbod tha

    alows the components instaled at the site to be connectedo eiher of two bus bas. The two bus bars at each site econnected to a crossbar swichboard aowing the exiblesetp of the system(s) to be stdied. The bus bs can beeiher conneced to the national id or can be p of anisolated system. I allows componens and sysems to bein id connected operation, islad operation, or operationin paalel wth wind tubine or PV -plan.

    A. PVPanels

    The PV panels e mounted in three sings: two stringshaving 18 panels of 165 W each, and oher onecontaining 1 panels of 100 W. The srings e connected

    to the id thoug a thee-phe PV inverer.

    B Data Acquition and Control SystemThe data acquisiion ad conol system (hardwe ad

    sowre) is responsible for te supeision and conol ofthe reseach platform for istrbuted ntelligent energysystems with a high penetraton of renewable energy. Thesupersory soware code was riten in Java ad is ableto maage the data acqusition, processes the data ndxecutes the conol loop and ouuts the controlvariables. The sensors ouuts are conected to a signalconditioning board, which n is conneced to the daaacquisition (DAQ) bod based on a PC (SCAASystem).

    97814671017/12/$1.00 2012 IEEE -41

  • 8/13/2019 06250027

    2/6

    Kochiobotaru t a Simuation Mod dvopd for a SmaSca Systm in Distribution Ntworks

    III EL A ARy ODELG

    A. Modeling of the PVPanel

    This papr uss a singl diod quivaln circui for h modl, dscribd by a simpl xponnial ncion:

    =Ise-10,

    (eV+i.R,/n"vrI) (1)

    In which Ise and h shorcircui and opncircuicrns, is h cll sris rsisanc, is h numr ofclls in h pnl concd in sris and T rprsns hjuncion hrmal volag which includs h diod qualiyfacor, h Bolzmn's consn, h mpra a STCnd h chg of h lcron

    Mufacurrs pically provid limid opraionaldaa for phoovolaic panls Ths daa availabl onlya sdd raing condiions, for which h irradianc is 1000 W/m

    2and h cll mpratr Tel is 5 C

    xcp for h OCT which is drmind a 800 W/m

    d a bin mpra To of 0 CEquaions for h shor circui cun Ise and h opn

    circui volag as a cion of absolu mpraT includ mpra cocins ha provid h rao chng wih rspc o mpra of h prformanc pamrs, can b xprss as:

    Ise= Isc2 . ( +PI T)Voc=Voc2S (I+Z'T) ()

    = ToTo compl h modl i is lso ncssy o ino

    acco vaiaion of h rs wih rspc oirrdianc:

    Isc=Isc2S(Ga/lOOO) (3)

    Using a fo pamrs modl of a singl diodquivaln circui, h vi chacrisics for a solar panlsring dpnding on irradic d mpr has hfollowing xprssions:

    v=nps 'e +nps nsV .1l-i/nsp .Ise2S Go/OO(4)

    (5)

    Th quaions (4) and (5) can b usd o calcua hvolag and crn ovr a sring of pnls

    Th modl usd o obain h saic characrisics of h panls has bn dvlopd in TLAB using hquaions prsnd abov Th modl was dvlopd foron pl, as a cion of irradianc and mpraurTh modl has as inpus d Te/ on h pan and iswps h volag rang of h panl in ordr ocalcula h ouu crn nd powr clls havnonlin i-v and pv chacrisics Is oupu volagd powr chg according o mpra andirradiaion

    ig 1 shows h ypical chacrisics for a modland also a compison bwn chnicalchacrisics om daash (on h l) d simulaionrsuls for on pan

  • 8/13/2019 06250027

    3/6

  • 8/13/2019 06250027

    4/6

    C KochCobotaru t al Smulato Modl dvlopd for a SmallScl Systm Dstrbuto Ntworks

    35000500

    20o100

    Q 000

    04

    3500302

    20

    500 00050

    04

    6

    6

    " Pt es

    P d

    4 6 20 2 ()

    b)

    12 4 16(

    c)

    Pmes

    Po d

    20

    Fig 5 Sola iadice adtation steps

    IV . ULATON OEL OF PV YSMEVELOPE POWACTORY FOR STUTON

    ETWOS

    Computer models of power systems re widely used byower system utilities to study load ow, steady-saevolage staility and dynamic and transient behavior of

    ower system.

    DIgSILET PowerFactory as een chosen becauseprovides the ability to simulate load ow, RMSuctaions in he same sowe envionmen. Iprovides a comprehensive lirary of models for electricalcomponents in the power system [4].

    Te dynamic model of the PV System impemened inPowerFactory has been built with standad componentsliby and is based on the same equations used foMATLA/Simulink model presented befoe.

    The blocks of the PV mode, DC-Link and conolleof the Static Geneao are imlemened in the dynamicsimulation anguage DSL of DIgSILET. DSL aows

    the use o imemen secic models that e nostandrd in the DIgSILET libay and thus o creaeown develoed blocks eithe as modications of exisingmodels o as comletely new models. The inealsimulation language DSL has also been used o dene thePV chaacerisics and to initialize the aametes andvaiables of the model.

    Fig. 6 a) shows a single line diaam of he laboatoycitectue imlemened in PoweFacoy.

    Fig. 6 b) sows the schemaic scture o the PVSysem mode, deveoed fo imedomain simuaions

    where a DSL model is required, including PhotovoltaicModel, DC-Link Model, PLL block ad Static Generatowith its Controller. The Static Generator is easy to usemodel of any kind of static (non rotating) generators. Tecommon characteristic of tese generators is that tey eal connected to the id throug a static converer.

    Applications re PV Generators, Storage devices, windgenerators etc.

    On te basic date tab of the single line diaam it ispossible to set up the number of paallel generators andthe power ratings of one PV panel.

    For load ow analysis, also shown in Fig. 6 a), elocal voltage controller could be se to three dierentmodes: cos

  • 8/13/2019 06250027

    5/6

    7th EEE nternational Symposium on Applied (omputationalntelligence and nformatics May 24-26, 2012 Timioara, Romania

    ,

    .

    1 1 1 1 11 1 1 I I Ir -----I I I II I I I I I Ir ----I I I I1 1 11 1 1

    L __ I I I II I I I I

    I___L- I.

    ..

    .

    1

    1 1 1 1 1 1I I I I -----,I I I I I I I---- ------1 1 1 L J I I I I

    . - i -- --- - .'- -:.-''-- ""Cn

    .

    .

    -- ------ -- I I II I I II I I - : :-- I I I I I I1 1 1 - --I I I II I I I I

    _____ 1 JI I I I I II I I I .

    ---------

    41 1 11 1 1 1 to O - .6 k aV12,

    --j----, - ----- 1.I I I 1 __L I 001 1 1 11 1 1 11

    - ------- 1r i .0 jo.

    1 1I' - :- = OP ('o.IO)o

    Fig 7 Simulaion resuls of he PV sysem model implemened in PowerFaco

    V. ONLUSONS

    This paper proposes a four-paraeter mode of a PVpae ad a PV system impemeted iMATLAB/Simuik usig data provided by themaufacturer with semi-empirica equatios to predict thePV chacteristics for ay coditio. PV chacteristicsare modeed accordig to a sige diode fo parameterequivaet circuit ad PV paeters vaues take om

    the maufacturer techica data.

    The paper also proposes a mode that reies o ambietdata om a loca weather statio ike most commo i area situatio ot om sesors mouted o the PV paes.The mode calcuates the ce temperature ad the soiadice o the PV paes cosiderig og others

    the tit age the orietatio of the paes ad the dcooig eect. The paper shows that these factorssiicaty iuece he power ouput om the PV

    paels.

    Compariso with experimeta data acquired bySCADA system ad processed by MA TLAB d with thechacteristics of the PV pes provided bymaufacturers has sho that the model impemeted iMATLAB/Simui c be a accurate too for the

    predictio of eergy productio.

    A PV system model usig the same equatios adpeters as i MA TLAB/Simuik to dee the PVmodule ad chacteristics has aso bee developed adimpemeted i PowerFactory to stdy oad ow steadystate voltage stabiiy d dyamic behavior of adisibuted power system.

    A compaiso betwee both simuatio modesimpemeted i MATLAB/Simulik d PowerFactoryhas sho a good similarity. That mes that this workca be used for rher deveopmet of toos for DERcompoe a dtiud ewok.

    OWLDGNT

    This work was suppored i pt by the E.U. ProectSmooth PV No. 8449/011 ad aso piay suppored

    by the strategic grat POSDRU/88/1.5/S/50783 (009) ofthe Miis of Labor Fiy ad Socia ProtectioRomaia co-aced by the Europea Socia Fud Ivestig i people.

    FRNS

    [I] ** wwwenergynauicscom neauics GmbH, Longen,Germany,2010

    [2 S Seme, G Sumberg, and Vorsic, Maximum eciencyrajecories of a wo-axis sun rackg sysem determinedconsidering tracking system consumpion, IEEE Tans OPowe Eletonis vol 26,no 4,pp 12801290,Apr 2011

    [3] W De Soto, S A Klein, and W A Beckman,mprovement andvalidation of a mel for photovolaic aay perfoance,ELEVIE ol Eneg vol 80,pp 7888,2006

    [4] Y Rionneau,S Bacha, S Barruel and S Ploix,"Optimal PowerManagemen for id coeced PV Sysems wih baeries,IEEETnstion on ustinble Eneg vol 2, no 3, pp 309-320,uly 2011

    [5 Skoplaski, A G Boudouvis d A Polyvos, A simplecoelation for the operaing emperaure of phoovoltaic modules

    of rbira mouning,ELEVIE ol Eneg Mteils pp13931402,2008[6] K Mura, S Mehme,B Yunus, D Seda, Deerming opimum

    il gles d orienaions of phoovolaic panels in Saliurfa,IEEE Tnstion on enble Eneg vol 29, issue 8, pp12651275,2004

    [7 H iayi, huanwen, and X Rong, "A review on distribuedenergy resources and Microid, ELEVIE enble &ustinble Eneg evis vol 12,pp 24722483,2008

    [8] M G Villalva, R Gaoli, R Filho, omprehensiveApproach o Modelling d Simulaion of Phoovolaic Arrays,IEEE Tnstion on Powe Eletonis vol 24, issue: 5, pp11981208,2009

    [ D Y owni, Pples of Sola Egeeg, (2nd ed,Philadelphia: Taylor Francis,2000,p 81-98

    -345-

  • 8/13/2019 06250027

    6/6

    C. KochCiobotaru t al. Simulation Modl dvlopd for a SmallScal Systm in Distribution Ntworks

    [0 M Jansen, R Louie, M E Amoli and F Sami, "Model andsimulion of a 75 kW PV solar ray, Proc 200 EE PESTransmission d Distribution Conference and Eosition, pp S

    [ S Dezso; Teodorescu R; d Rodriguez P, "PV panel modelbased on datasheet values", . 2007 EE nttionalSymposium on ndustrial Electronics,pp 23922396

    [2 H Liu, L Jin, D Le and A A Chowdhu, "pact of highpenetration of solar photovoltaic generation on power systemsmall sial stability,in Proc 200 POWERCON,pp 7

    [3 AD Hansen, P Ssen, LH Hansen, H Bindner, Models fora StandAlone PV System, Ris National Laborato, Roskilde,RisR29(EN) / SECR2,Dec 2000

    [4 DgSENT PowerFactory,Digsilent bh,November 200

    -346-