004 Basic Bop Lea312 Ast

188

Transcript of 004 Basic Bop Lea312 Ast

Page 1: 004 Basic Bop Lea312 Ast
Page 2: 004 Basic Bop Lea312 Ast

Preface

This Instruction Manual deals with safety precautions, operation panel explanations, and basic operation following the flow of processing for the Mitsubishi CO2 Laser Processing System Control Unit LC20B. Before operating the machine, thoroughly read this manual and take lectures at the training school without fail. In this manual, optional functions are not explained separately. Since each control unit is not always provided with full options, some functions cannot be used on some type of machines. Check the availability of options from the specification before operating the machine.

Precautions

(1) The monitor displays shown in this manual are examples. The processing conditions etc. shown have no relation to actual processing.

(2) The tool used in the manual refers to processing head or the laser beam. (3) Although this manual contains as much information as possible even on special

procedures, it is necessary to construe that any item not mentioned in this manual cannot be used.

When operations other than those listed are carried out, the unit may break down or not function properly.

(4) The explanations of the assist gas pressure system refer to the specifications for the "assist gas pressure stepless control". When using the 3-step changeover specifications, the assist gas pressure setting and displays will be L, M and H.

(5) For further instructions on the control unit LC20B, refer to the following manuals along with this instruction manual.

Operation Manual (Application) BOP-LE7783 Programming Manual BOP-LE8481 Screen Instruction Manual BOP-LEA307 Computer Link Instruction Manual BOP-LE8483

Note that depending on the processing machine, a supplement manual may be provided for the control unit LC20B.

(6) The details of this manual are subject to change without notice.

(Note) • Windows® 95 is the abbreviation of the Microsoft® Windows® 95 Operating System.

• Windows®XP is the abbreviation of the Microsoft® Windows®XP Operating System.

• Microsoft, Windows and Windows NT are registered trademarks of Microsoft Corp.

• The other company names and product names in this manual are the trademarks or registered trademarks of the respective company.

Page 3: 004 Basic Bop Lea312 Ast
Page 4: 004 Basic Bop Lea312 Ast
Page 5: 004 Basic Bop Lea312 Ast
Page 6: 004 Basic Bop Lea312 Ast
Page 7: 004 Basic Bop Lea312 Ast

1. For Safe Operation 1-1 Safety Precautions

1 – 1

1. For Safe Operation 1-1 Safety Precautions

The high power laser beam can cause injury to the eye or burns if it is irradiated on the human body. The safety precautions that must be observed when using the laser processing machine are described in this section. Always observe these and use the laser processing machine safely.

1-1-1 Safety countermeasures for laser beam

Special care is necessary as the CO2 laser beam is an invisible far red infrared radiation having a 10.6μm wavelength. If the direct or reflective laser beam should contact the eyes or skin, damage to vision or burns could result, so never radiate the beam on the human body.

(1) Beam passage ducts should be closed during operation.

Do not open any section of the beam passage (beam duct, bend mirror, etc.), when radiating the laser beam.

(2) Use of protective goggles The operator must wear protective goggles or an acrylic protector that covers the face. (This is also applicable for the operator who adjusts the CO2 laser.) Note that the laser beam (CO2) cannot be completely shielded even when wearing protective goggles or a protector. This also applies to the visible beam (red).

(3) The beam shutter must be closed during inspections. Before disassembling or inspecting the processing head and the bend mirror holder (removal, installation, etc., of nozzle, processing lens and bend mirror), stop the oscillation. Also, be sure to close the beam shutter that is provided at the outlet of the CO2 laser.

(4) Provision of warning sign When the CO2 laser beam and visible laser beam are synchronized for operation, the open beam passage (transmission in the open air) is used for the rated output. An enclosure must be provided to prevent persons from entering the beam passage. Additionally, a warning sign "OFF LIMITS DUE TO ADJUSTMENT" should be provided.

(5) Prevention of direct entry of laser beam into eye As the 10.6µm wave length CO2 laser beam is not visible, the visible laser beam (power : approx. 1mW) is used to adjust the beam axis. However, the visible laser beam must not enter the eye because it also will cause damage to vision.

(6) Avoidance of flammable objects As the 10.6µm wave length laser beam is easily absorbed by paper, wood, cloth, etc., these materials will catch on fire if exposed to the beam. Do not place paper, wood, cloth, etc., on the work table.

(7) Prevention of secondary reflection Take special care to secondary reflection from metal surfaces. Especially take care when cutting copper or aluminum workpieces.

(8) Proper removal of workpiece chips If cutting chips or dust accumulate on the conveyor, exhaust duct or dust collector installed below the work table, the spatter and the beam could come in contact and start a fire. Thus, always remove these periodically and clean completely.

Page 8: 004 Basic Bop Lea312 Ast

1. For Safe Operation 1-1 Safety Precautions

1 – 2

1-1-2 Safety countermeasures for laser medium gas and decomposition gases generated during laser processing

In addition to N2, He and CO2 gas, there is CO gas in the laser medium gases. Take care not to inhale these gases. As various plastic materials include fillers and flame retarders, poisonous gases such as NOX, SOX, COX, etc., may be produced as thermally dissolved materials. These gases must be exhausted from the room.

(1) The CO2 laser exhaust gas contains CO gas. Thus, always pipe the vacuum exhaust pipe to an

outdoor site, and make sure that the gas is not directly inhaled.

(2) Installation of protective housing When materials that product flammable gases (CH, etc.) are processed, the machine must be enclosed with a protective housing, and the gases must be exhausted from the housing.

(3) Confirmation of exhaust fan Make sure that the exhaust fan in the protective housing is running during processing.

(4) Confirmation of complete exhausting After completing processing, make sure that the smoke is completely exhausted before entering the protective housing.

(5) Purging is done with N2 during maintenance, so make sure that the air can be exhausted (ventilated) from the room.

1-1-3 Other safety countermeasures

(1) Appoint a manager for the CO2 laser machine to control the keys of the operation panel, etc. The manager must observe all precautions regarding the laser processing machine system to

ensure all workers' safety.

(2) Provide a controlled area around the machine with an enclosure, and prevent unauthorized persons from entering the area.

(3) Do not place flammable materials, explosive chemicals or gas cylinder around the laser processing system.

(4) If the operator might leave the work site during processing, automatic emergency stop system that detects earthquakes and other abnormalities should be installed.

(5) Precautions for cutting aluminum material If both aluminum and iron are cut with laser processing, cutting chips from both will form and accumulate in the work table and dust collecting duct. When cutting both materials with the same processing machine, a mixture of iron oxide and aluminum dust will be created. If a spark comes into contact with this, a thermit reaction will occur generating an intense amount of heat. To prevent this thermit reaction, observe the following conditions and execute maintenance. 1) Periodically remove the chips and dust on the work table and in the dust collecting duct. 2) Clean especially well when cutting both aluminum and iron. 3) These same precautions apply when cutting flammable materials (wood, acrylic, etc.). The

thermit reaction will occur the most easily of flammable materials are mixed in with the aluminum and iron cutting chips.

(6) Precautions for the assist gas regulator The withstanding pressure of the assist gas regulator differs according to the gas cylinder and liquid cylinder. (The primary pressure of the gas cylinder is high at 150kgf/cm2 ∗) and the primary pressure of the liquid cylinder is approx. 30kgf/cm2 ∗).) When used in the combination, the regulator could be damaged, so take plenty of caution to avoid incorrect usage. Have the regulator periodically inspected by a manufacturer specializing in gas handling. ∗ ) : 1kgf = 98066.1358Pa

Page 9: 004 Basic Bop Lea312 Ast

1. For Safe Operation 1-1 Safety Precautions

1 – 3

(7) Do not place hands near the processing head or over the workpiece on the table while the CO2 laser processing machine is operating. Doing so could lead to injuries from the laser beam, or catching if the table moves while a hand is extended over the workpiece.

(8) When cutting a long narrow workpiece in the Y axis direction, the workpiece could drop after cutting and become caught between the chuter and chip conveyor. To prevent this, use the work support pins and install a workpiece drop preventing ledge in the X axis direction. The processing program for the workpiece drop preventing ledge is included in the floppy disk, so create the workpiece drop preventing ledge as necessary, and use the program.

(9) Install a partition or protective housing in case of burning during processing. Note that burning will easily occur during piercing of thick plates.

(10) Make sure that none of the piping of the piping or wiring is damaged or contaminated.

(11) Always clean and adjust the devices before resuming operation after stopping operation for a long time.

(12) About the “#221 M-POS” parameter in the PROCESSING PARAMETER screen

(1) Function

This parameter is a parameter for restricting the position of beam irradiation. The maximum of the Z-axis height in which beam-on is possible is set up with machine coordinates. When it is going to carry out beam-on above this setting parameter during automatic operation, it becomes alarm (F00024 C: BEAM ON AT HIGH POS.), and beam irradiation cannot be carried out.

(2) How to Use

This parameter is used in order to prevent a beam glaring carelessly during automatic operation. When beam-on needs to be carried out by maintenance etc. in the high position of the Z-axis, please use this parameter value, changing each time. If the work of a maintenance etc. finishes, it will be used returning this parameter to a suitable value. The standard is taken as the value on +70.000mm (Z-axis machine value) from the minus software limit value of Z- axis. Although restriction starts with this parameter, please put neither a hand nor the body near a processing head and into a processing table by any means during processing.

Page 10: 004 Basic Bop Lea312 Ast

2. System Outline 2-1 System configuration

2 – 1

2. System Outline 2-1 System configuration

This laser processing system is configured of the processing machine body, CO2 laser (power supply panel, resonator, cooling unit), and control unit. The automatic programming unit can be added as an option. CNC programs can be transferred by connecting this unit to the control unit with a CNC data link cable.

Page 11: 004 Basic Bop Lea312 Ast

3. Outline of Control Unit 3-1 Control unit appearance

3 – 1

3. Outline of Control Unit 3-1 Control unit appearance

This control unit is a PCNC having fixed software dedicated for the laser processing system.

Note) The installation position of the handle box may differ depending on the processing machine.

[LC20BP2]

LCD screen

POWER switch

CNC operation panel

Machine operation panel Small door

(Serial I/F socket)

Page 12: 004 Basic Bop Lea312 Ast

3. Outline of Control Unit 3-1 Control unit appearance

3 – 2

(1) Rotating the control unit [LC20BP2] When you change direction of the control unit, please open a fixed lever wide. Please bind a fixed lever tight and fix the control unit, after determining the position of the control unit.

Precautions 1. A cooling unit (heat exchanger) to cool the unit is provided on the rear side, so always leave

at least 10cm open from the wall. 2 Make sure that hot wind from heating appliances does not directly contact the devices. 3 Please do not carry a thing on a control unit

(2) About fixation of a control device

Please use a control device (pendant part), fixing not to rotate. If the emergency stop switch is pushed strongly, without fixing the control unit (pendant part), the control unit (pendant part) may rotate and the emergency stop switch may be unable to be pushed. Be sure to use it, fixing with the lever for fixation of a control unit (pendant part).

The lever

The control unit

Page 13: 004 Basic Bop Lea312 Ast

3. Outline of Control Unit 3-2 Operation panel appearance

3 – 3

3-2 Operation panel appearance (Note) The details on the machine operation panel nameplate will differ according to the laser

processing machine. Refer to the specifications, etc., for details.

RESET key READY LED Screen movement key Screen close key Cursor movement keys Data editing keys Machine operation panel

Page keys Menu keys Function selection keys Alphanumeric keys

Handle mode selection lamp Handle box

Page 14: 004 Basic Bop Lea312 Ast

3. Outline of Control Unit 3-3 Specifications

3 – 4

3-3 Specifications The main standard specifications of the LC20BP2 control unit are shown below.

Item Specification

Model LC20BP2

Type Simple dust-proof type (mounted on processing machine)/ Simple dust-proof standalone indoor type

CPU 64 bits Display unit 10.4 inch TFT Hard disk unit 2GB

Program memory capacity Standard 5000m (approx. 2MB), No. of registered programs 400 programs

Power control Power, frequency, duty CO2 laser control Operation control Shutter open/close, beam ON/OFF, gas change, etc.

Drive method X-Y-Z simultaneous 3 axes (Z axis height sensing is also possible) Semi-closed droop method

Position detection method Encoder method

Min. command unit 0.001mm Created on screen 3.5-inch FLD (1.44MB and 720KB format compliant) RS232C

Program input method

Network (option) Memory operation

Processing machine control

Operation method HD direct operation

(Note 1) This control unit is dedicated for the Mitsubishi two-dimensional laser processing machine. Do not use it with other processing machines. (Note 2) If this instruction manual and the specifications differ, the specifications will have the priority. (Note 3) If the control unit is turned ON and operation is continued for approx. 50 days (without

turning the power OFF even once), the key inputs will be inhibited. In this case, turn the control unit power OFF once.

(Note 4) If the control unit power turns OFF due to an instantaneous power failure, etc., the data being accessed will not be saved correctly. Backup the data as necessary.

Page 15: 004 Basic Bop Lea312 Ast

4. Stopping the Machine 4-1 Stop

4 – 1

4. Stopping the Machine If any danger is sensed, such as if the machine carries out abnormal or incorrect operations, stop the machine immediately. Do not use the machine while a fault or alarm is occurring. The following methods can be used to stop the machine. Learn these methods before starting use of the machine.

4-1 Stop

When the STOP switch is pressed, the automatic

operation will stop, and the machine will decelerate to a stop. The beam power, etc., will also turn OFF. When the START switch is pressed, the automatic

operation will continue.

Note) The START switch on the READY screens

mean "Execute". (Refer to section 20. Basic operation, Preparation for processing.)

4-2 Reset

When the key is pressed, the control unit will

enter the reset state. The automatic operation will be interrupted and the machine will decelerate to a stop. The remaining distance of the commanded block will be cleared, and the beam power, etc., will turn OFF. When pressing the key, hold it down for at least one

second. 4-3 Emergency stop

When the EMERGENCY STOP button is pressed, the moving axis will stop immediately, and all other machine operations, such as beam power, etc. will also stop. To release the emergency stop state, turn the button in the direction of the arrow to return the button, and then press the key.

RESETHD

READY

START

STOP

Page 16: 004 Basic Bop Lea312 Ast

4. Stopping the Machine 4-4 Turning the power OFF

4 – 2

4-4 Turning the power OFF When the POWER OFF switch is pressed, the supply of power to the control circuit will be cut off, and all functions will stop. This method to stop the machine by turning the power OFF may be used only if the machine does not stop when the EMERGENCY STOP button is pressed.

POWER

Page 17: 004 Basic Bop Lea312 Ast

5. Operating the Machine 5-1 Manual operation

5 – 1

5. Operating the Machine The machine can be operated with manual operation or with automatic operation that follows the processing program. Manual operation includes zero point return, rapid traverse, handle feed and jog feed. Automatic operation includes memory operation. Refer to the following sections for details on each switch and display used for operation.

5-1 Manual operation 5-1-1 Zero point return

This is used to move the axis position to a position (machine zero point) characteristically assigned to the machine.

It is an absolute position detection system, so the performance of the return to zero point is only “return to zero point with high speed”.

High-speed zero point return (1) The axis moves at the rapid traverse rate to the machine zero point.

Rapid traverse rate

Zero point dog

Zero point

Page 18: 004 Basic Bop Lea312 Ast

5. Operating the Machine 5-1 Manual operation

5 – 2

[Operation Procedures] ① Press the key.

② Select the random override value with the

"RAPID OVERRIDE RATE" keys.

③ Visually confirm the current position of the

machine. The return start position must be 20mm or more toward the return direction from the machine zero point position. If movement is required, move with handle feed, etc. (Only when using the dog-type and each axis zero point return.)

After pressing the key, press the

menu button to display the

PROCESSING screen. In the following operations, the changes in the coordinate value and movement speed can be confirmed on this screen.

⑤-A For all axes zero point return

By pressing the and keys

simultaneously, the axes will automatically move in the order of the Z axis, X axis and Y axis toward the zero point direction. The operation mode can be other than the handle mode. When using the dog-type return, after each axis' speed drops to the approach speed (100mm/min.), and the zero point return is completed, the key LEDs will turn OFF.

ZERO RETURN

Zero point dog

Zero point

Stroke end dog

20mm or more

Return direction

RAPID OVERRIDE RATE

10% ( )% 100%

Page 19: 004 Basic Bop Lea312 Ast

5. Operating the Machine 5-1 Manual operation

5 – 3

⑤-B For zero point return of each axis

Press the zero point return direction key in the "FEED AXIS" selection keys. If using the dog-type return, when the axis drops to the approach speed the axis will automatically return to the zero point even if the key is released.

⑥ Confirm that a "#1" mark (zero point return

completed display) appears after the coordinate value of each axis in the [POSITION] area.

5-1-2 Rapid traverse

This is used when each axis position is to be moved to a random position at the rapid traverse rate. The movement speed can be changed between three speeds using the "RAPID OVERRIDE RATE" keys.

[Operation Procedures]

① Press the key.

② Select the random override value with the

"RAPID OVERRIDE RATE" keys.

RAPID

RAPID OVERRIDE RATE

10% ( )% 100%

IN/UP

OUT/ DOWN

−X +X +Y

−Y ○ M30/M02 ○ M00/M01

Page 20: 004 Basic Bop Lea312 Ast

5. Operating the Machine 5-1 Manual operation

5 – 4

③ Press the "FEED AXIS" selection key for

the direction to be moved in. The control axis will move while the key is pressed down, and will decelerate to a stop when released.

5-1-3 Handle feed

This is used when each axis position is to be accurately moved to the target position or when the axis is to be moved by the target distance from the position. To accurately move to a distant position, approach the position with the rapid traverse and then accurately position with handle feed.

[Operation Procedures]

① Select the axis to be moved with the "AXIS

SELECTION" switches on the handle box. If a setting other than OFF is selected, the handle feed mode will be selected. The LED on the right will light while the handle feed mode is selected. When OFF is selected, the original operation mode will be returned to, and the LED will turn OFF.

② Using the "SPEED SELECTION" switch,

select the movement amount per handle scale. x1 : 0.001 millimeter x10 : 0.01 millimeter x100 : 0.1 millimeter

4 Z

Y X

OFF

100x 1x10x

IN/UP

OUT/ DOWN

−X +X +Y

−Y ○ M30/M02 ○ M00/M01

Page 21: 004 Basic Bop Lea312 Ast

5. Operating the Machine 5-1 Manual operation

5 – 5

③ Turn the "handle" in the required

movement direction, and move the axis. 5-1-4 Jog feed

This is used for movement during the conditions setting work, etc., to find the appropriate processing conditions. The axis will move with the effective processing conditions setting speed (override value is also valid) displayed on the left side of the PROCESSING screen.

[Operation Procedures]

① Press the key to select the jog feed

mode.

After pressing the key, press the

menu button to display the

PROCESSING screen. The set speed and processing speed override can be confirmed on this screen.

③ Press the "FEED AXIS" selection key for

the direction to be moved in. The control axis will move while the key is pressed down, and will decelerate to a stop when released.

MELLASER

- +0

50

I N / O U T

O U T /D O W N

JOG

IN/UP

OUT/ DOWN

−X +X +Y

−Y ○ M30/M02 ○ M00/M01

Page 22: 004 Basic Bop Lea312 Ast

5. Operating the Machine 5-2 Automatic operation

5 – 6

5-2 Automatic operation 5-2-1 Memory Operation

The processing programs registered in the memory are called out, and automatic operation is carried out.

[Operation Procedures]

① Press the key to select the memory

operation mode. ②

After pressing the key, press the

menu button to display the

OPERATION SEARCH screen.

③ Call out the processing program to be

executed. Set the program No. and press the

key.

When the search is completed, the message "SEARCH COMPLETED" will appear at the lower right of the screen.

④ Confirm that the processing program has been correctly called out with the program No. displayed on the upper left of the screen.

MEMORY

Page 23: 004 Basic Bop Lea312 Ast

5. Operating the Machine 5-2 Automatic operation

5 – 7

⑤ Select the random override for the rapid

traverse command in the program using the "RAPID OVERRIDE RATE" keys. Normally, this is set to 100%.

⑥ When the START switch is pressed, the

automatic operation will start. The start of automatic operation is valid when the switch is pressed and released.

(Note) The START switch on the READY screen functions as "Execute".

(Refer to section 20. Basic operation, Preparation for processing.)

START

RAPID OVERRIDE RATE

10% ( )% 100%

Page 24: 004 Basic Bop Lea312 Ast

5. Operating the Machine 5-2 Automatic operation

5 – 8

5-2-2 HD direct operation This function carries out automatic operation while the control unit directly reads the processing programs on the personal computer (hereinafter PC) HD, FD or equivalent drive. This operation allows the PC HD, etc., to be handled in the same manner as memory operation, and also allows multiple processing programs or large processing programs created with the CAM, etc., to be handled.

[Operation Procedures]

① Press the key to select the memory

operation mode. ②

After pressing the key, press the

menu button to display the

OPERATION SEARCH screen. ③

Press the submenu button to open

the DIRECT SEARCH screen.

④ Select the drive, directory and file name of

the processing program to be executed, and press the key. The direct

operation search will be completed. When completed normally, the DIRECT SEARCH screen will close automatically.

MEMORY

Page 25: 004 Basic Bop Lea312 Ast

5. Operating the Machine 5-2 Automatic operation

5 – 9

⑤ Confirm that the processing program has

been correctly called out with the program No. displayed on the upper left of the screen.

⑥ Select the random override for the rapid

traverse command in the program using the "RAPID OVERRIDE RATE" keys. Normally, this is set to 100%.

⑦ When the START switch is pressed, the

automatic operation will start.

(Note) The START switch on the READY screen functions as "Execute". (Refer to section 20. Basic

operation, Preparation for processing.)

[Handling of the program No. during operation search] The program No. for operation search is the following file name or O No. at the head of the file. If the file name is "Value.NC", the file name will be the program No.

123.NC O100(COMMENT...) ...... 123.NC G28X0Y0 ......

If the file name is not "Value.NC", the O No. at the head of the file will be the program No.

ABC.NC O123(COMMENT...) ......

In cases other than the above (when the file name is not "Value.NC" or there is no "O No." in the file), an error will occur.

RAPID OVERRIDE RATE

10% ( )% 100%

START

In the example on the left, the file name is not "Value.NC" so the file's "O No." or 123 will be the program No.

Page 26: 004 Basic Bop Lea312 Ast

5. Operating the Machine 5-2 Automatic operation

5 – 10

[Precautions for HD direct operation] ① Processing program file format

The processing program file will follow the following format. • All file names that can be used on Windows can be used for the main program (processing

programs to be searched). The file name should be "Program No.NC" or "8 or less alphanumeric characters.NC". The alphabetic characters are not case-sensitive. "123.NC", "123.nc" and "123.Nc" will all be handled as the same program.

• The subprogram file name will be "Same file name as Program No.NC".

1. NC ~ 99999999.NC Files that have a name starting with zero cannot be used as subprograms. (Example) 0123.NC, 0001.NC File format

O program No. (Comment: Max. 19 half-byte characters)

: Program body :

%

Page 27: 004 Basic Bop Lea312 Ast

6. Main Power Supply and POWER Switch 6-1 Main power supply (No-fuse breaker)

6 – 1

6. Main Power Supply and POWER Switch 6-1 Main power supply (No-fuse breaker)

With the LC20BP2 (simple dust-proof standalone indoor type), the main power supply is used to supply the power to the control unit and processing machine. This is also used to stop the power supply for inspections.

ON ( I )

By turning the handle to ON position, power can be supplied to the control unit with the POWER switch (refer to section 6.2.).

OFF ( O )

By turning the handle to OFF position, the power supply to the control unit and processing machine can be stopped. At this time, the power will not be supplied to the control unit even if the POWER switch is pressed.

Trip

If the power used to operate the machines from the control unit exceeds the rated value, the safety function will activate, and the lever will automatically drop to the position between ( I ) and ( O ) . If the trip state is entered, remove the cause, and lower the handle to the OFF ( O ) position once before returning it to the ON ( I ) position.

OPEN RESET

When you open the door of the main part of a control unit, turn the handle in the direction of an arrow. The control unit door is not opened in the position of ON or OFF.

6-2 POWER switch

When the (POWER ON) switch is pressed, power will be supplied to the control circuit, and the screen will appear. If the screen does not appear, the following may have occurred: (1) Power is not supplied to the control unit. (2) The main power supply handle is OFF or tripped. The power supply to the control circuit can be stopped by pressing the (POWER OFF) switch.

ON(I)

OF

F(O

)

TRIP

POWER

Page 28: 004 Basic Bop Lea312 Ast

7. EMERGENCY STOP Button and READY Key 7-1 EMERGENCY STOP button

7 – 1

7. EMERGENCY STOP Button and READY Key 7-1 EMERGENCY STOP button

The red mushroom-type push-button is the "EMERGENCY STOP" button. To release the emergency stop state, remove the cause of the emergency stop, turn the button in the direction of the arrow to release the emergency stop, and then press the key.

During an emergency stop, the READY LED turns OFF, and neither automatic nor manual operations are possible. The control unit will be reset at that time. If the "EMERGENCY STOP" button is pressed during a movement command, the moving axis will stop immediately, and all other machine operations will also stop. Note that due to the mechanism, the operating circuit for the air cylinder, etc., may not stop immediately.

7-2 READY key

This key switch is used to validate the laser processing machine operation. To end the work, turn the key switch to "OFF" and then turn the power OFF. If the key switch is set to "ON" when the power is turned ON or during an emergency stop, the laser processing machine can be operated by setting the key switch to "OFF" once and then returning it to "ON".

OFF

All control axes enter the servo OFF (the ][ mark appears on the screen), and the keys used to operate the

machine, such as the key, etc., are invalidated.

Note that the key will function only when this key is set to OFF.

ON

The processing machine enters the servo ON (the ][ mark disappears) state. In this state, the

operations of the key, etc., are accepted, and both manual and automatic operation of the

machine is possible.

(Note) For safety purposes, always assign a laser processing machine operation supervisor to control this key.

READY

OFF ON

Page 29: 004 Basic Bop Lea312 Ast

7. EMERGENCY STOP Button and READY Key 7-3 RESET key

7 – 2

7-3 RESET key When the key at the upper right of the

operation panel is pressed, the control unit will enter the reset key. If the key is pressed during CNC

operation, the following state will be entered. When pressing the key, hold it down for at least one

second.

(1) If an axis is in the movement command, the movement will decelerate to a stop, and the remaining distance of the commanded block will be cleared.

(2) If an M, S, T or B miscellaneous function is commanded, the execution of the miscellaneous function will be canceled. (3) If a program error has occurred, the program error state and display will be cleared. (4) If an input/output unit is being used, the input/output will be canceled. (5) If the shutter is opened, it will close. (6) If the beam is ON, the beam will turn OFF. (7) If the height control is being carried out, the height control will turn OFF at that position.

7-4 READY lamp (READY display)

This lamp is used to indicate that the control unit is in the READY state. If the drive section and operation section are normal, this lamp will light several minutes after the POWER ON ( ) switch is pressed. This LED will flicker while the personal computer's HD is warming up. To prevent HD freezing, the HD will be warmed up if the temperature is less than 7°C. It will take approx. 5 minutes for the temperature to rise 1°C. When the lamp changes from a flicker to a stable light, the READY state will be entered.

7-5 Personal computer HD access lamp (HD display)

This lamp flickers while the personal computer's HD is being accessed.

RESETHD

READY

RESETHD

READY

Page 30: 004 Basic Bop Lea312 Ast

8. Operation Modes

8 – 1

8. Operation Modes These keys are used to select the operation mode. When one mode is selected from the six modes (for the remote mode, when the key is simultaneously selected with another mode), the lamp will light. When height control, retry control, start point return, restart point return or movement to the loading/unloading position is executed, the "manual option mode" is selected separately from these six modes. In this case, the manual option mode will be automatically canceled when the selected movement command is completed.

Mode name Operation details Memory operation mode

Select to carry out memory operation.

Zero point return mode

Select to position the control axis to the machine zero point with manual operations.

Rapid traverse mode

Select to continuously move the control axis at the rapid traverse rate.

Jog mode

Select to move the control axis continuously with the manual feedrate (value set in F: FEED on the PROCESSING screen).

Remote mode

Select to carry out control from a device other than this control unit. Refer to the separate manual (OPTIONS) for details.

Handle mode Select to move the control axis with the manual handle.

(Note 1) If the operation mode stops with the "manual option mode" selected, because of a CNC alarm, etc., enter another mode by pressing the key.

MEMORY ZERO RETURN JOG REMOTE

RAPID

ZYX

OFF

Handle box

Page 31: 004 Basic Bop Lea312 Ast

9. Miscellaneous Keys and Displays in the Operation Modes 9-1 RAPID OVERRIDE RATE changeover

9 – 1

9. Miscellaneous Keys and Displays in the Operation Modes 9-1 RAPID OVERRIDE RATE changeover

These keys are used to apply an override on the rapid traverse rate during automatic operation and manual operation. The default selection when the power is turned ON is the value applied when the power was turned OFF the last time. As external deceleration is applied on the X, Y and Z axis feed rates before zero point return, and on the Z axis feed/rate during manual operation, the speed will be clamped at a speed lower than the value set as the override.

[Functions affected by these keys]

During automatic operation : G00, G28, G30 During manual operation : Rapid traverse, zero point return, start point return, restart point

return, loading/unloading position return

[Clamp speed] X axis : 5m/min. Y axis : 5m/min. Z axis : 5m/min.

Note) For the ( ) % override, the value set in #23 on the screen will be valid.

Note) For the LV and LVP Series, the manual rapid traverse rate will be limited to a value half of that for automatic operation even during the rapid traverse mode.

9-2 FEED AXIS selection keys

These keys are used to start the control axis during manual operation. The selected control axis will move while the "FEED AXIS" selection key is pressed. The control axis movement will stop when the key is released. During manual operation :

Zero point return mode, rapid traverse mode, jog mode Note) In the LV and LVP Series, if the X or Y "FEED

AXIS" is selected in the rapid traverse mode, first the Z axis will return to the zero point, and then the selected control axis will move.

RAPID OVERRIDE RATE

10% ( )% 100%

Page 32: 004 Basic Bop Lea312 Ast

9. Miscellaneous Keys and Displays in the Operation Modes 9-3 ALL ZERO RETURN key

9 – 2

9-3 ALL ZERO RETURN key

When the and keys are pressed

simultaneously while the axis movement is stopped in a mode other than automatic operation started in a mode other than the handle mode, the zero point operation will take place in the order of the Z axis, X axis and Y axis. The movement of the X axis or Y axis after the Z axis reaches the zero point.

To cancel the zero point return operation, press the key again. The moving axis will stop.

The lamp will flicker during the all axes zero point return, and will turn OFF when the zero point return is completed or canceled.

It returns to the XYZ axis machine zero point memorized by the absolute position detection unit at rapid-traverse speed.

Zero point return start position (x, y, z)

Y

Z

X XYZ axes machine zero point (0, 0, 0)

(x, y, 0)Z axis machine zero point

Page 33: 004 Basic Bop Lea312 Ast

9. Miscellaneous Keys and Displays in the Operation Modes 9-4 START POINT RETURN key

9 – 3

9-4 START POINT RETURN key 9-4-1 Explanation of key

By using the key, the positioning operation can

be simplified for when repeatedly processing from the same position. This key can be used by pressing it simultaneously

with the key when the axis movement is stopped in a state other than automatic operation start

in a mode other than the handle mode. (Such as in after CNC resetting or when automatic operation is halted.) The key lamp flickers while moving to the processing start point, and turns OFF when the movement is completed. If the key is pressed again while flickering, the movement will be canceled and the lamp will turn OFF.

9-4-2 Registering the start point

The start point is registered automatically when in the automatic operation mode. The point is registered when the START switch is pressed while not in automatic operation. That position (X, Y

and Z axes machine coordinates) is registered. As the old start point data is overwritten, the latest start point position will be valid. This start point data is held even when the power is turned OFF, so if zero point return is carried out after turning the power ON at the next operation, positioning can be carried out to the previous start point with this function.

9-4-3 Return operations

The return operation is divided into the following two types according to the current position when the

key and key are pressed simultaneously.

(1) When the current X and Y positions differ from the start point:

When the key and key are

pressed simultaneously, first the Z axis will move to the machine coordinate zero point as shown with 1a in the drawing. (#1 will not appear at the [POSITION] area. Then, the X and Y axes will move to the start point as shown with 1b. The movement will take place with rapid traverse.

(2) When the current X and Y positions are the same as the start point (When the operation in (1) above is completed)

When the and key are

pressed simultaneously, the Z axis will move to the start point as shown with 2 in the drawing. The movement will take place with rapid traverse. If the height control is turned ON at the head of the program, press the key once and carry out the operation in (1) above to return just the X and Y axes. Return to start point is carried out with rapid traverse, so when using the HD Series, press the key again, and execute the operation explained in (2) to position the Z axis at a height speed than height control. Note that if the workpiece plate thickness has been changed, etc., make sure that the Z axis will not collide with the workpiece when moving to the start point. (Note) Even if the key is pressed at the start point position, the Z axis will move to the zero

point once with the operation in (1) above.

Start point (X, Y) Z axis machine zero point

Start point return start position

Start point (X, Y, Z)

2

1b 1a

Page 34: 004 Basic Bop Lea312 Ast

9. Miscellaneous Keys and Displays in the Operation Modes 9-4 START POINT RETURN key

9 – 4

9-4-4 Canceling the return In the following cases, the return to the start point will be canceled and stopped.

(1) When the key is pressed again during the return.

(2) When a CNC alarm occurs. (3) When CNC RESET is pressed. (4) When the servo OFF state is entered with an emergency stop, etc.

Page 35: 004 Basic Bop Lea312 Ast

9. Miscellaneous Keys and Displays in the Operation Modes 9-5 FEED HOLD POINT RETURN key

9 – 5

9-5 FEED HOLD POINT RETURN key 9-5-1 Explanation of key

By using the key, the positioning operation can

be simplified when restarting processing after escaping the processing head when a feed hold state is entered because of an alarm, etc.

This key can be used by pressing it simultaneously with the key when the axis movement is

stopped in a state other than automatic operation start in a mode other than the handle mode. (Such as in after CNC resetting or when automatic operation is halted.) The key lamp flickers while moving to the processing restart point, and turns OFF when the movement is completed. If the key is pressed again while flickering, the movement will be canceled and the lamp will turn OFF.

9-5-2 Registering the restart point

During automatic operation, the restart point is registered when a feed hold state is entered. That position (X, Y and Z axes machine coordinates) is registered. As the old restart point data is overwritten, the latest restart point position will be valid.

9-5-3 Restart operation

The return operation is divided into the following two types according to the current position when the

key and key are pressed simultaneously.

(1) When the current X and Y positions differ

from the restart point:

When the key and key are

pressed simultaneously, first the Z axis will move to the machine coordinate zero point as shown with 1a in the drawing. (#1 will not appear at the [POSITION] area.) Then, the X and Y axes will move to the restart point as shown with 1b. The movement will take place with rapid traverse.

(2) When the current X and Y positions are the same as the restart point (When the operation in (1) above is completed)

When the and key are pressed simultaneously, first the Z axis will move to the

restart point as shown with 2 in the drawing. The movement will take place with rapid traverse. If the height control is turned ON in the program, press the key once and carry out the operation in (1) above to return just the X and Y axes. However, for the HD Series, as the axes return to the restart point with rapid traverse, the key can be pressed again to execute the operation in (2) above to position the Z axis at a higher speed than height control. Note that precautions must be taken to prevent the Z axis from colliding with the workpiece even when moving to the restart point. (Note) Even if the key is pressed at the restart point position, the Z axis will move to the zero

point once with the operation in (1) above.

Restart point (X, Y) Z axis machine

zero point

Restart point return start position

Restart point (X, Y, Z)

2

1b 1a

Page 36: 004 Basic Bop Lea312 Ast

9. Miscellaneous Keys and Displays in the Operation Modes 9-5 FEED HOLD POINT RETURN key

9 – 6

9-5-4 Canceling the return In the following cases, the return to the restart point will be canceled and stopped.

(1) When the key is pressed again during the return.

(2) When a CNC alarm occurs. (3) When CNC RESET is pressed. (4) When the servo OFF state is entered with an emergency stop.

Page 37: 004 Basic Bop Lea312 Ast

9. Miscellaneous Keys and Displays in the Operation Modes 9-6 2ND and 3RD ZERO PT. RETURN keys

9 – 7

9-6 2ND and 3RD ZERO PT. RETURN keys 9-6-1 Explanation of keys

By using the and keys, returning to the

2nd and 3rd zero point positions (loading/unloading positions, processing start position, adjustment position, etc.) can be carried out easily.

This key can be used by pressing it simultaneously with the key when the axis movement is

stopped in a state other than automatic operation start in a mode other than the handle mode. Return operation is carried out, and the zero point position can be changed in the handle mode. The key lamp flickers while moving to the 2nd and 3rd zero points, and turns OFF when the movement is completed. If the key is pressed again while flickering, the movement will be canceled and the lamp will turn OFF.

When changing the zero point position, the lamp flickers while the or key is pressed in

the handle mode. If the selection key for the axis name to be changed is pressed in this state, the lamp will change to a stable light, and will turn OFF when the key is released. If 2nd or 3rd zero point return is interrupted during automatic operation (when automatic operation is halted, etc.), the program movement path will deviate in the same manner as the normal zero point return. Thus, do not use this during automatic operation.

9-6-2 Changing the zero point position

The 2nd and 3rd zero point positions can be changed by setting the machine coordinate value directly on the AXIS PARAMETER screen (refer to the "Screen Operation Manual" for details) or by using the handle mode. With the latter method, the handle mode is selected, and the machine is moved to the position to be changed. Then, the axis key for which the coordinates are to be changed is pressed

while holding down the (or ) key.

[Procedure for changing XY coordinate value for 2nd zero point return] (1) Using rapid traverse, etc., move to near the position to be changed. (2) Select the handle mode, finely adjust the position of the axis to be changed.

(3) While holding down the key, press the X and Y axis selection key for approx. 2 seconds.

+ or

: The X axis coordinate value is updated.

+ or

: The Y axis coordinate value is updated.

+ or

: The Z axis coordinate value is updated.

(When also changing the Z axis coordinate value.)

(4) Press the and keys, and confirm that the 2nd zero point coordinate value

matches the current machine coordinate value. (If the AXIS PARAMETER screen is already displayed, display another screen once to display the

updated coordinate values, and then display the AXIS PARAMETER screen.)

+ or

Page 38: 004 Basic Bop Lea312 Ast

9. Miscellaneous Keys and Displays in the Operation Modes 9-6 2ND and 3RD ZERO PT. RETURN keys

9 – 8

9-6-3 Return operations With the 2nd zero point (3rd zero point) return operation, the axis will move from the current position

where the (or ) key was pressed to the position registered on the AXIS PARAMETER

screen. The movement will pass through the Z axis machine coordinate zero point. The movement will take place with rapid traverse.

Operation order

When the (or ) key and

key are pressed simultaneously, first the Z axis will move to the machine coordinate zero point as shown with 1a in the drawing. (#1 does not appear at the [POSITION] area.) Then, the X and Y axes will simultaneously move to the 2nd zero point (3rd zero point) as shown with 1b. Finally, the Z axis will move to the 2nd zero point (3rd zero point) as shown with 1c to complete the series of operation.

In particular with the ( )

operation, the Z axis movement to the target position

is contained in the series of operations, unlike the key or key. To avoid unintentional

interference with the workpiece, etc., set the Z axis machine zero point and carry out the final positioning with height control unless repeating this operation often.

9-6-4 Canceling the return

In the following cases, the return operation to the 2nd zero point (or 3rd zero point) will be canceled.

(1) When the key is pressed again during the 2nd zero point return. (When the key is

pressed again during the 3rd zero point return.) (2) When the operation mode is changed. (3) When a CNC alarm occurs. (4) When CNC RESET is pressed. (5) When the servo OFF state is entered with an emergency stop, etc.

2nd zero point (X, Y, Z)

1c

1b 1a

Z axis machine zero point

2nd zero point return start position

Page 39: 004 Basic Bop Lea312 Ast

9. Miscellaneous Keys and Displays in the Operation Modes 9-7 Handle box

9 – 9

9-7 Handle box When the handle feed axis selection switch is set to a position other than "OFF", the handle mode will be selected and the LED at the center will light. To return to the previous operation mode, select "OFF". If the switch is set to "OFF" and the center LED is flickering during automatic operation, "HANDLE IT Z" on the screen is valid, and the Z axis can be moved with the handle.

(1) Handle box selection LED display

This LED indicates that the axis movement is possible by turning the handle. When lit : The handle mode is selected When flickering : The Z axis handle interrupt is selected

(2) Handle feed axis selection switch

This is used to select the handle mode and the axis to be moved with handle operation. This is also used when the No. 4 axis is an additional axis or synchronous control axis.

(3) Handle feedrate selection switch

This is used to select the movement amount per handle feed pulse (one scale) when the handle mode or Z axis handle interrupt is valid.

x1 : 0.001 millimeter x10 : 0.01 millimeter x100 : 0.1 millimeter

(Note) The Z axis handle interrupt is fixed to the x100 range during focussing on the READY screen. The setting cannot be changed with the handle feedrate selection switch.

(4) Handle

100 pulses or movement with one handle turn or data changes can be made during the handle mode and handle interrupt. The movement axis and movement amount per pulse is selected with the handle feed axis selection switch and handle feed speed selection switch.

100x1x10x

ZYXOFF

ON/OFF-P

Page 40: 004 Basic Bop Lea312 Ast

9. Miscellaneous Keys and Displays in the Operation Modes 9-8 START and STOP switches

9 – 10

9-8 START and STOP switches

(1) START This switch is used to start memory operation, or to restart operation after a feed hole or automatic operation stop state (single block operation, etc.) Automatic operation is executed by pressing the START switch.

The key lamp is lit in green from when the automatic operation is started to when it is stopped with a feed hold, reset or block stop. Starting of automatic operation with the START switch is

valid in a state other than the READY state. On the READY screen, this functions as the execution switch for the operation items. For details on the handling of the START

and STOP switches on the READY screen, refer to the

sections 20 to 22 Basic operation.

(2) STOP This switch is used to feed hold the machine during automatic operation. The beam, assist gas and height control will stop with the feed hold, and the shutter will close. Press the START switch

to restart. At this time, the height control, shutter, beam and assist gas state will automatically return to the state before feed hold, and then automatic operation will resume. Note that if feed hold is applied during retraction while the height sensor is OFF, the retraction will stop and end. The remaining retract movement will not take place after restarting. If the "MISCELLANEOUS FUNCTION LOCK" is turned ON during feed hold, the above items will remain OFF and will not turn ON automatically. In the same manner if "HEIGHT M CODE INVALID" is turned ON, the height control will remain OFF and the above items will not turn ON automatically. The switch indicates the automatic operation halt state from when feed hold is received to when it is restarted or reset. During height control return while restarting, the START switch lamp will

flicker.

START

STOP

Page 41: 004 Basic Bop Lea312 Ast

9. Miscellaneous Keys and Displays in the Operation Modes 9-9 M30/M02 and M00/M01 display

9 – 11

9-9 M30/M02 and M00/M01 display

(1) M30/M02 If M02 or M30 is executed during automatic operation, the program will end and the M30/M02 display will light. This state is held until the operation is restarted or the

key is pressed.

(Note) When the display lights, the beam will turn OFF, the shutter will close, the assist gas will turn OFF and the height control will turn OFF.

(2) M00/M01 If M00 or M01 (when "M01 STOP" on the ON/OFF PARAMETER screen is ON) is executed during automatic operation, the automatic operation will stop when the block containing this M code is completed. The display will remain lit until the operation is restarted or the key is

pressed.

(Note) Even if this is programmed after beam ON or assist gas ON in the processing program, the beam will not turn OFF and the assist gas will not turn OFF.

<Example>

M120 G 01 X 100. M00 ........ The block stops with the beam ON. G 01 Y 100. ; ~

M30/M02

M00/M01

Page 42: 004 Basic Bop Lea312 Ast

9. Miscellaneous Keys and Displays in the Operation Modes 9-10 WORK L/UL PREPARATION key

9 – 12

9-10 WORK L/UL PREPARATION key This key is used to move the processing head to a specific position (called the loading/unloading position) outside of the processing range to make loading and unloading of the

workpiece easier. By holding down the key and

pressing the key, the Z axis will return to the zero

point and then the Y axis will move to the zero point while the X axis moves to the specific machine value. The LED flickers during the movement and turns OFF after completing the movement.

• LV Series, LVP Series .......... Loading/unloading position

(Example) For 2512LV (2500, 1250., 0)

The beam and height control will turn OFF when the key is pressed.

If the processing head is outside of the processing range, the beam and height control cannot be turned ON. (Note that if zero point return has not been carried out even once after the power was turned ON, the above key operations will be valid.) To move from the loading/unloading position to the within the processing range, move with rapid traverse or jog.

To cancel movement to the loading/unloading position, press the key or press the

again. The G code (G252) can also be used to move to the loading/unloading position. Refer to the "Programming Manual" for details.

Software limit (Y axis machine position + 1) Outside of processing

range

Loading/unloading position

(2500.,1250.,0)(X,Y,0)

Work table

Page 43: 004 Basic Bop Lea312 Ast

10. Height Control

10 – 1

10. Height Control

The height control controls the height with the signals from the height sensor unit (sensor) installed on the end of the processing nozzle. The height of the nozzle from the workpiece obtained with height control can be changed with the nozzle height data value in the execution processing conditions buffer. For details on setting the approach point and retract amount refer to the "Screen Instruction Manual" or "Programming Manual".

(1) The max. speed during height control will differ according to the height sensing unit (contact type,

non-contact type (electrostatic type), air lifting type, etc.). The speed is limited by the rapid traverse rate so the axes will not move at a speed higher than the Z axis rapid traverse rate.

(2) The tracing range during height control is from the soft limit on the Z axis' minus side to the approach point.

(3) The nozzle height from the workpiece in the program can be changed with the processing condition data. The M101 to M110 code data is used in the processing program.

(Note 1) While tracing with height control, do not issue a movement command in the Z axis direction with manual operation (jog mode, rapid traverse mode, zero point return mode, handle mode). If executed, the compensation amount with tracing will be added to the movement command, and the current value counter will be updated. However, the machine stop position will return to the trace position before the movement command.

Even during automatic operation (memory mode), the program coordinates will be updated to the coordinate values following the Z axis command in the same manner as the manual operation. However, the amount compensated with height control will change by that value, and the stop position will return to the trace position before the movement command.

(Note 2) When using the non-contact type height sensor unit, retract the Z axis to the zero point with the rapid traverse if the "Height Control Tracing Fault" or "Nozzle Touch" alarm occurs.

Read the Precautions for Use (given in the separate "Instruction Manual") before using the non-contact type height sensor unit.

Page 44: 004 Basic Bop Lea312 Ast

10. Height Control 10-1 Height control keys

10 – 2

10-1 Height control keys

(1) Height CONTROL ON

Height control will start when the key is pressed.

With the height control, the axis will move to the set approach point at the rapid traverse (G00) rate, and will stop when the nozzle height between the nozzle and workpiece reaches the set value with the trace function. The approach point can be set on the SENSOR CONTROL PARAMETER screen. The approach point value is set as an absolute value from the Z axis machine zero point. If the key is pressed during height hold, the height hold will be canceled.

(2) Height CONTROL OFF

When the key is pressed during height control (when the lamp is lit), the tracing will end,

and the axis will rise at the rapid traverse (G00) rate by the retraction amount. The retraction amount is set in the same manner as the approach point on the SENSOR CONTROL PARAMETER screen. The retract amount is set as the movement amount from the traced position with an incremental value. The data is held even when the control power is turned OFF. The retract process will not be executed even if the height control is turned OFF during height hold.

Program example

N1 G 91 G 92 X 0 N2 M 198 ; ... Height control ON N3 G 01 X 50. N4 M 199 ; ... Height control OFF N5 G 00 X 30. N6 M 198 ; ... Height control ON N7 G 01 X40. N8 M 199 ; ... Height control OFF

Soft limit (−)

G0 approach

Approach point

Retract amount

Ret

ract

am

ount

Tracing

Tracing

Height control racing range

The sections use rapid traverse rate The sections use the trace speed

G0 approach

N2

N5N6

N3

N4 N7

N8

Page 45: 004 Basic Bop Lea312 Ast

10. Height Control 10-2 HEIGHT HOLD key

10 – 3

10-2 HEIGHT HOLD key

(1) HEIGHT HOLD ON The height hold state (contact type and gas lifting type sensor execute sensor up simultaneously) is entered

when the key is pressed.

(2) HEIGHT HOLD OFF

By pressing the key during height hold (lamp is lit), the height hold state (contact type and

gas lifting type sensor execute sensor down simultaneously) is entered.

Program example N1 G 91 G 92 X 0 N2 M 198 N3 G 01 X 20. N4 M 74 ; ..... Height control ON N5 G 00 X 40. N6 M 75 ; ..... Height control OFF N7 G 01 X40. N8 M 199

Retraction N8

Tracing N7

In height holdN5

Tracing N3

Workpiece

Page 46: 004 Basic Bop Lea312 Ast

11. Adjusting the Processing Conditions

11 – 1

11. Adjusting the Processing Conditions

Processing condition adjustment is carried out to determine the material conditions when the processing conditions are unknown. There are two condition adjustment methods for the power and speed. The first method is to directly change the conditions. The second method is to apply an override on all of the conditions. The data in the execution processing condition buffer can be corrected in here. If the processing conditions are selected, the data in the processing condition buffer selected on the PROCESSING CONDITION screen can also be corrected.

CONDITION ADJUSTMENT screen

The following screen will appear when the key on the operation panel is pressed.

Display and setting items The items that can be input (input with numeric keys on machine operation panel) or adjusted (adjusted with condition adjustment knob) are shown below.

Display/ setting item Input Adjustment Setting range (unit)

MATL Not possible Not possible THICK Not possible Not possible NO. Possible Not possible 0 ~ 10 POWER Possible Possible 0 ~ 50000 (W) FREQ Possible Possible 0 ~ 9999 (Hz) DUTY Possible Possible 0.0 ~ 100.0 (%) FEED Possible Possible 0 ~ 240000 (mm/min) FOCUS Possible Possible −99.999 ~ 99.999 (mm)

Processing conditionadjustment key

CONDADJ

Condition adjustmentknob

Page 47: 004 Basic Bop Lea312 Ast

11. Adjusting the Processing Conditions

11 – 2

Display/ setting item Input Adjustment Setting range (unit)

ADD FUNC Not possible Not possible GAS-PRES (Note 1) Possible Possible 0.00 ~ 99.99 (kg/cm2) GAS-TYPE Possible Not possible 1 ~ 3 PIERCE Possible Possible 0.0 ~ 99.9 (sec) OFFSET Possible Not possible 0.000 ~ 9.999 (mm) NOZZLE-GAP Possible Possible 0.3 ~ 99.999 (mm) OUTPUT POWER Not possible Not possible OUTPUT FEED Not possible Not possible GAS-P DISP Not possible Not possible OVERRIDE (POWER) Possible Possible 0 ~ 200 (%) OVERRIDE (FEED) Possible Possible 0 ~ 200 (%)

(Note 1) If using the 3-step changeover method (when the gas pressure no-step option is OFF), the

gas pressure cannot be adjusted. It can be set.

1) The adjustable item is displayed in blue on the screen.

Operation methods <Movement of the selection column>

This control unit equips a touch panel. Press the item with finger when moving to the selection column.

Adjust (or input) the conditions with the following procedures.

① Press the key on the machine operation

panel.

→ The CONDITION ADJUSTMENT screen will appear. (The CONDITION ADJUSTMENT screen will appear from any screen when the key is

pressed.) ② Change the conditions.

The conditions can be changed with the following two methods.

<Input: Directly input the data from the numeric keys.> (1) Select to the item to be changed with finger. (The item enclosed in a blue box is currently selected.) (2) Input the data, and press the key.

<Adjustment: Adjust with the condition adjustment knob.> (1) Select to the item to be changed with finger. (2) Turn the condition adjustment knob. → The value of the selected item will change.

Page 48: 004 Basic Bop Lea312 Ast

11. Adjusting the Processing Conditions

11 – 3

③ When done changing the conditions, press the

key.

→ The CONDITION ADJUSTMENT screen will close.

1) The CONDITION ADJUSTMENT screen will close in the following cases.

• When the key is pressed.

• When the key is pressed again.

• When the screen is changed with the function selection key. • When the screen is changed with the menu key.

Note) This operation is also possible during automatic operation.

(Note 1) The condition adjustment knob will change the data in the plus direction when turned clockwise, and in the minus direction when turned counterclockwise. Depending on the data correction amount per click of the knob, the target value may not be set. However, this will not pose any problems in the control. To accurately set the value, directly input the value with the numeric keys.

Page 49: 004 Basic Bop Lea312 Ast

12. Setting Up the CO2 Laser 12-1 LASER READY key

12 – 1

12. Setting Up the CO2 Laser 12-1 LASER READY key

When the key is turned "ON" (lit), the " "

display will light after approx. 40 seconds, indicating that the setup of the CO2 laser and cooling system is completed.

When the key is turned "OFF" (not lit), the CO2 laser

and cooling system will stop in approx. 2 minutes.

(Note 1) If "CONVEYOR AUTO" is selected on the ON/OFF PARAMETER screen, the conveyor operation will turn ON and OFF in the same manner.

(Note 2) When setting up the D Series CO2 laser, the beam will be turned ON automatically to adjust the power before " " lights.

12-2 LASER lamp

The " " lamp indicates four states by staying not lit, flickering and lighting.

(1) Non-lit state

The CO2 laser and cooling unit operation are stopped.

(2) Flickering state (Long lighting interval) The CO2 laser and cooling system are being prepared for processing with the laser beam. Normally, the lamp changes to a stable light after approx. 40 seconds.

(3) Flickering state (Short lighting interval) The CO2 laser and cooling unit are being stopped. Normally, the operation stops in approx. 2 minutes.

Flickering during preparation Flickering during stop

(4) Stable light Setup of the CO2 laser and cooling unit is completed. The laser beam can be output only in this condition.

(Note 1) If "CLG UNIT START" is selected on the ON/OFF PARAMETER screen, the cooling unit operation is indicated with the flickering for stopping explained in item (3).

Page 50: 004 Basic Bop Lea312 Ast

12. Setting Up the CO2 Laser 12-3 AUTO GAS CHANGE key

12 – 2

12-3 AUTO GAS CHANGE key

After the laser gas in the resonator is exhausted for 20 to 30 minutes, new laser gas is filled in approx. 1 minute. If this

key is pressed while the key is OFF, the lamp will

light. The lamp turns OFF when the gas change is completed.

(Note 1) To prevent incorrect operations, this switch must be pressed for one second or more to

activate. (Note 2) If the key has been pressed by mistake and the operation must be stopped, press the key

again. (Note 3) Before automatically changing the laser gas, confirm that the laser gas valve on the CO2

laser power supply panel pump unit is open. If the intake valve is closed, the alarm message "Rvp LASER GAS PRES. LOW" will appear. If the alarm appears, open the valve,

and press the key before starting the automatic laser gas change again.

(Note 4) Set the laser gas cylinder pressure to 0.5 to 1kg/cm2. (Note 5) When replacing the laser gas with the manual switch in the CO2 laser power supply panel

pump unit, reset the "#11 LASER GAS CHANGE" cumulative value on the MAINTENANCE screen (1/2) at the same time.

Page 51: 004 Basic Bop Lea312 Ast

13. SHUTTER OPEN and SHUTTER CLOSE 13-1 SHUTTER OPEN and SAFETY SWITCH key

13 – 1

13. SHUTTER OPEN and SHUTTER CLOSE

These keys are used to open or close the shutter installed on the laser beam outlet of the resonator.

(Note 1) Before disassembling or inspecting the processing

head or bend mirror holder (installing or removing the nozzle, processing lens or bend mirrors), always stop the oscillation and close the shutter.

(Note 2) To prevent hazardous states, if the shutter is opened or closed in the "beam ON" state, the beam will turn OFF. This is to prevent unintentional disturbance reflection of the laser beam when the mirror installed on the shutter moves.

13-1 SHUTTER OPEN and SAFETY SWITCH key

The and keys are pressed simultaneously to open the shutter. The key lamp will light

when the shutter is completely opened. 13-2 SHUTTER CLOSE key

The key is pressed to close the shutter. The key lamp lights when the shutter is completed

closed.

(Note 1) The shutter will also close in the following cases. (1) When M02 or M30 is executed during automatic operation. (2) When the key is pressed.

(3) When a CNC alarm or sequence error occurs. (Excluding sequence errors not related to the shutter.)

(4) When feed hold stop is applied.

(5) When the key is turned ON.

(6) When M67 (shutter close) is executed.

Page 52: 004 Basic Bop Lea312 Ast

14. BEAM ON and SAFETY Keys 14-1 BEAM ON and SAFETY keys

14 – 1

14. BEAM ON and SAFETY Keys

These keys are used to irradiate or stop the laser beam by controlling the electrical discharge in the resonator.

(Note 1) When turning the beam ON, the operator must

always wear protective goggles or cover the entire head with an acrylic protector.

(Note 2) If the beam is turned ON while the assist gas is not discharged in the state, the

assist gas will be discharged approx. 0.2 seconds before turning the beam ON to protect the processing lens. The assist gas will stop simultaneously with the operation to

protect the processing lens. (If M codes are used to discharge the assist gas before turning the beam ON, the delay can be eliminated.)

14-1 BEAM ON and SAFETY keys

The key and key are pressed to irradiate the laser beam at the value set in the power

data of the execution processing condition buffer while " " is ON. The key lamp lights while the laser beam is being irradiated.

To monitor the laser power, close the shutter, and then turn ON. Note that depending on the

CO2 laser model, if the "beam ON" state continues for 5 minutes with the shutter closed, the "Res BEAM ON, PRETECT ERR" alarm will occur to cool the shutter damper water. If this alarm occurs, wait five minutes before turning the beam ON, and then monitor the laser power again.

14-2 BEAM OFF key

The key is used to stop the laser beam irradiation.

The key lamp will light when the laser beam is not being irradiated.

(Note 1) The beam will also turn OFF in the following cases.

(1) When M02 or M30 is executed during automatic operation. (2) When the key is pressed.

(3) When a CNC alarm or sequence error occurs. (Excluding sequence errors not related to the beam.)

(4) When feed hold stop is applied. (5) When "SINGLE PULSE" is selected on the ON/OFF PARAMETER screen, and the beam is

turned ON for the pierce time set in the execution processing condition buffer. (6) When M121 (processing end) or M87 (beam ON) is executed.

Page 53: 004 Basic Bop Lea312 Ast

15. Status Display 15-1 BEAM IRRADIATION lamp

15 – 1

15. Status Display 15-1 BEAM IRRADIATION lamp

This flickers when the beam is ON and the shutter is open.

Beam ON : The two red horizontal direction LEDs flicker.

Shutter open : The two yellow vertical direction LEDs flicker.

15-2 LASER lamp

When this lamp is lit, the CO2 laser setup has completed and the laser beam can be output. (Refer to Chapter 12 section 2 for details.)

15-3 TABLE lamp

This lamp lights when the servo ON, air purge and safety door (option), etc., conditions are established.

15-4 COOLING lamp

This lamp lights when the cooling unit is operating normally.

(Note) Depending on the processing machine model, there may be LEDs that indicate the status of the other devices.

COOLING

TABLE

LASER

Page 54: 004 Basic Bop Lea312 Ast

16. BUZZER RESET and ALARM RESET 16-1 BUZZER RESET key

16– 1

16. BUZZER RESET and ALARM RESET 16-1 BUZZER RESET key

This key is used to stop only the buzzer when a sequence alarm has occurred. When a sequence alarm has occurred, the buzzer will not sound again even if a new sequence alarm occurs.

16-2 ALARM RESET key

When a sequence alarm occurs, a message (ERROR HELP screen) will appear, and the key lamp will flicker. After remedying the alarm, press this key to reset the alarm. When the cause has been completely eliminated, the message and key displays will turn OFF. The buzzer will also be reset with this key.

(Note 1) If an alarm is caused by the cooling water "Wco WAIT FOR RECOVERY BY ION

EXCHANGE" occurs, the cause will be automatically removed by turning the key

ON. The alarm message will automatically disappear, and the " " lamp will light.

The alarm messages "Res BEAM ON PROHIBIT WAIT 5 MINUTE", "Wco PREPARING COOLING WATER CIRCULATION UNIT" and "Wco WAITING FOR COLD WATER STABILIZATION" etc. are also automatically reset.

Page 55: 004 Basic Bop Lea312 Ast

17. Other Machine Control Switches 17-1 ASSIST GAS

17– 1

17. Other Machine Control Switches

Take special care to prevent catching of fingers, etc., during the following key operations. Note that the keys may not be usable depending on the type of laser processing machine, and the presence of optional functions.

17-1 ASSIST GAS

When the key is pressed, the assist gas will be

discharged. The gas pressure is adjusted on the CONDITION ADJUSTMENT screen.

Page 56: 004 Basic Bop Lea312 Ast

17. Other Machine Control Switches 17-2 Help display Key

17– 2

17-2 Help display key

(1) Explanation of the key

A Press ? key in CNC Operation panel NC Operation board displays detailed explanatory note

of ADD-Functions on the CONDITION SETING screen or the CONDITION EDITING screen.

(2) Example of use

① Press the Function Selection Key , Press the Menu button .

*) On the CONDITION EDITING screen , Press the Function Selection Key ,

Press the Menu button .

② The 1st page is displayed.

③ The TAB key is used and the Blue-Frame is moved to the setting column of conditions.

④ A Press ? key in CNC Operation panel NC Operation board .

PROCESS OPERATION

EDIT

Blue Frame

Explanatory note

Page 57: 004 Basic Bop Lea312 Ast

17. Other Machine Control Switches 17-3 BACKLIGHT Key

17– 3

17-3 BACKLIGTH key

(1) Explanation of the key

When the BACKLIGHT key is pressed, the backlight of the screen can be turned on(The state

where the screen is displayed) or turned off(The state where the screen is not displayed). When

the CNC UNIT is not used for a long time, for the purpose of the backlight degradation prevention,

please be in the state of OFF of the backlight.

(2) Example of use

Whenever it presses the BACKLIGHT key once, it repeats on--off of the backlight. That is, the

backlight is turned off when the front state is the backlight on. The backlight is turned on when the

front state is the backlight off.

(3) Special Notes

① Please press other screen operation keys after pressing the BACKLIGHT key once and

changing the backlight into the state of ON, when the backlight is turned off. Please perform

the following operations, when the other screen operation keys is pressed and this key is

stooped receiving in the state of the backlight OFF.

• Press the INPUT key, after the RIGTH-TAB key is pressed once.

• Press the CLOSE key.

Page 58: 004 Basic Bop Lea312 Ast

17. Other Machine Control Switches 17-4 P/C Control Key

17– 4

17-4 P/C Control Keys

The LVP Series processing machine has two pallets (A pallet [upper side], B pallet [lower side]). Feeding of the pallets to the processing machine from the pallet changer (hereinafter P/C) is called "loading", and feeding the pallets from the processing machine to the P/C is called "unloading". As the loaded pallet can be processed, it is sometimes called a work table.

17-4-1 Explanation of P/C Control Keys The meanings of the machine operation panel keys related to P/C control are described below. [Machine operation panel]

17-4-2 Automatic pallet changing

With the pallet in the state (LED lit), select this key to change the pallet when the program currently being executed is completed (when M30 or M02 is executed), or when the M22 code is executed in the program to change the pallet. When selected, the LED will light. If the key is pressed again when the LED is lit, the LED will go out. If the machine is moving, it will stop after all operations are completed. To stop during movement, press the key or EMERGENCY STOP button.

While the LED is lit, the , , and keys cannot be operated.

In the default state after the power is turned ON, the key LED is turned OFF.

(Note 1) Refer to section "23-3 Next Program Automatic Operation Function" for details on the automatic pallet change operation and the M22 code.

UP

IN

DOWN OUT

WORK

LIFTER

PALET LOAD

SAFETY DOOR

PALLET SET

MANUAL

PALET CHANGE

AUTO PALET

CHANGE

PALET LIFT

WORK LIFTER

UP IN

DOWN OUT

Page 59: 004 Basic Bop Lea312 Ast

17. Other Machine Control Switches 17-4 P/C Control Key

17– 5

17-4-3 Pallet setting

Operations using the key and key are validated. The key can be operated if each pallet is at the loading end or unloading end, and the pallet lifter position is at the upper end or lower end. The operation using the keys is completed with the following four states. If the key is pressed again while the LED is lit, the non-selected state (LED not lit) will be entered.

(1) When the key is turned ON while the A pallet is at the loading end The operation will be completed when the A pallet is at a pallet lifter position where it can be unloaded.

key ON

key LED lights immediately LED flickers LED lights

∗ If the A pallet is already at a position where it can be unloaded, the LED will light immediately, and the operation will be completed.

(2) When the key is turned ON while the B pallet is at the loading end The operation will be completed when the B pallet is at a pallet lifter position where it can be unloaded.

key ON

key LED lights immediately LED flickers LED lights

∗ If the B pallet is already at a position where it can be unloaded, the LED will light immediately, and the operation will be completed.

A pallet ∗B pallet lowering completed∗

B pallet

B pallet

∗B pallet lowering∗

A pallet

∗A pallet rising∗

∗A pallet rising completed∗

A pallet

B pallet

∗A pallet rising∗

∗A pallet rising completed∗

A pallet

B pallet

A pallet

B pallet A pallet

B pallet

Page 60: 004 Basic Bop Lea312 Ast

17. Other Machine Control Switches 17-4 P/C Control Key

17– 6

(3) If both the A pallet and B pallet are at the unloading end and the pallet lifter is at the lower end, the

operation will be completed immediately when the key is turned ON. Note that the A pallet will be loaded at the next pallet change operation.

key ON

key LED lights immediately (4) If both the A pallet and B pallet are at the unloading end and the pallet lifter is at the upper end,

the operation will be completed immediately when the key is turned ON. Note that the B pallet will be loaded at the next pallet change operation.

key ON

key LED lights immediately

A pallet

B pallet

A pallet

B pallet

Page 61: 004 Basic Bop Lea312 Ast

17. Other Machine Control Switches 17-4 P/C Control Key

17– 7

17-4-4 Manual pallet changing

When the key is pressed in the state ( key LED is lit), the pallet on the

processing machine will be changed with the pallet on the pallet lifter. If both pallets are on the pallet lifter side, the A pallet will be loaded when the pallet lifter is lowered, and the B pallet will be loaded when the pallet lifter is raised. The series of operations start from unlocking the pallet on the processing machine, unloading the pallet, and changing the loading pallet with the pallet lifter. Then, the other pallet is loaded onto the processing machine, where it is locked. At the same time, the pallet on the pallet lifter side is moved to the same height as the pallet on the processing machine.

The key LED flickers while the pallet is being changed, and turns OFF when the operation is completed. <Changing operation>

(1) When the A pallet is on the processing machine, turn ON the key.

Position when key is turned ON

Turn key ON Unlock pallet Load A pallet Pallet lifter rise operation B pallet loading operation

Pallet lifter lowers simultaneously with the locking of the pallet

A pallet

A pallet

A pallet

B pallet

B pallet

B pallet

B pallet

A pallet

A pallet

A pallet

B pallet

B pallet

Page 62: 004 Basic Bop Lea312 Ast

17. Other Machine Control Switches 17-4 P/C Control Key

17– 8

(2) When the B pallet is on the processing machine, turn ON the key.

Position when key is turned ON

Turn key ON Unlock pallet Load B pallet Pallet lifter rise operation A pallet loading operation

Pallet lifter rises simultaneously with the locking of the pallet

(3) When there is no pallet on the processing machine, and the A pallet is waiting to be loaded, turn

ON the key.

Position when key is turned ON

Turn key ON A pallet loading operation

Pallet lifter lowers simultaneously with the locking of the pallet

A pallet

A pallet

A pallet

A pallet

B pallet

B pallet

B pallet

B pallet

B pallet

B pallet

A pallet

A pallet

B pallet

B pallet

A pallet

B pallet

A pallet

B pallet

A pallet

A pallet

Page 63: 004 Basic Bop Lea312 Ast

17. Other Machine Control Switches 17-4 P/C Control Key

17– 9

(4) When there is no pallet on the processing machine, and the B pallet is waiting to be loaded, turn

ON the key.

Position when key is turned ON

Turn key ON B pallet loading operation

Pallet lifter rises simultaneously with the locking of the pallet

A pallet

A pallet

B pallet

A pallet

A pallet

B pallet

B pallet

B pallet

Page 64: 004 Basic Bop Lea312 Ast

17. Other Machine Control Switches 17-4 P/C Control Key

17– 10

17-4-5 Loading/rise, unloading/lower

Operations are carried out by pressing these keys simultaneously

with the , and keys.

UP

IN

DOWN OUT

WORK

LIFTER

PALET LIFT

PALET LOAD

Page 65: 004 Basic Bop Lea312 Ast

17. Other Machine Control Switches 17-4 P/C Control Key

17– 11

17-4-6 Pallet loading/unloading

When loading or unloading the pallet, press the above key simultaneously

with the

or key. The machine will move only while the

or key is pressed. When the key is released,

the Machine will decelerate to a stop. The LED flickers during movement, and changes to a stable light at the loading end. The LED turns OFF at the unloading end. In the following cases, the machine will not move even if the key is pressed. If the machine is moving, it will decelerate to a stop. 1) When the pallets on the processing machine and pallet lifter are at the same height.

2) When moving in the loading direction is attempted while there is a pallet at the loading end.

3) When moving in the unloading direction is attempted while there is a pallet at the unloading end.

4) When the pallet lifter is at the middle position (not at the upper end or lower end).

5) When the Z axis is not at the machine coordinate "0.000" position.

6) During automatic operation.

7) When the key is OFF, or EMERGENCY STOP is applied.

8) When automatic pallet change is selected.

9) When the interlock has activated for safety purposes.

(Note 1) If the key is turned OFF, the emergency stop is applied, or the power is left OFF for a long time while the pallet is being loaded or unloaded, the pallet lifter will gradually lower and could damage the machine. Thus, always move the pallet to the loading end or unloading end.

PALET LOAD

UP

IN

DOWN OUT

UP

IN

DOWN OUT

Page 66: 004 Basic Bop Lea312 Ast

17. Other Machine Control Switches 17-4 P/C Control Key

17– 12

17-4-7 Pallet lifter

To raise or lower the pallet, press the above key simultaneously with the or key. The operation will not stop at the middle position. Instead, after moving to the upper end or lower end, the operation will stop automatically. The LED will flicker during movement, and light when the upper end is reached. It will go out when the lower end is reached. In the following cases, the machine will not move even if the key is pressed. 1) When the pallet is being loaded or unloaded.

2) Rising when the upper end signal is ON. (At the upper end, the solenoid valve is always turned On in the cylinder rise direction.)

3) Lowering when the lower end signal is ON.

4) When the signal is OFF or EMERGENCY STOP is applied.

5) When automatic pallet change is selected.

6) When the interlock has activated for safety purposes.

PALET LIFT

UP

IN

DOWN OUT

Page 67: 004 Basic Bop Lea312 Ast

17. Other Machine Control Switches 17-4 P/C Control Key

17– 13

17-4-8 Work lifter

The work lifter serves as option specification. It cannot be used when there is no specification.

To raise or lower the work lifter, press the above key simultaneously with the or key. When pressed simultaneously with the

key, the work lifter will rise, and

when pressed simultaneously with the

key, the work lifter will lower.

To raise the workpiece, first set the pallet position to the same height as the pallet on the processing machine side, and then press the key. The work lifter will rise only while this key is pressed, so release the key when the work lifter has risen to the height required for loading or unloading the workpiece. When the key for lowering is pressed, the work lifter will automatically lower to the lower end, and then the DOWN key lamp will light. Please surely lower after use to a downward end. (Notes1) Please be sure to unite the position of a palette with the position of the palette by the side of

the processing machine, before using the work lifter. (Notes2) Since the palette cannot operate if there is no the work lifter in a processing end, please

move to the downward end after use.

UP

IN

DOWN OUT

UP

IN

DOWN OUT

WORK

LIFTER

Page 68: 004 Basic Bop Lea312 Ast

17. Other Machine Control Switches 17-5 Safty unit

17– 14

17-5 Safety unit 17-5-1.Safety door

Do not fail to close the safety door before operation, for safety. When the safety door is not closed, the following operations are inhibited.

1) Beam-on 2) Pallet changer operation 3) Automatic operation 4) Ready screen 5)Axis movement(Except for the handle feeding)

17-5-2.Safety door key switch Safety door can be sent up and down. (1) Safety door ascent

1)It is sent up when pressing “Loading/Ascent” key at the same time. 2)It is stopped after being sent up to the upper end. 3)It is stopped when taking hands off the key.

(2) Safety door descent 1)It is sent down when pressing “Unloading/Descent” key at the same time. 2)It is stopped after being sent down to the lower end. 3)It is stopped when taking hands off the key.

(3) Switch indicator 1)It is lighted at the upper end. 2)It is blacked out at the lower end. 3)It is flashed at the middle position.

17-5-3.Safety door photoelectric sensor A photoelectric sensor is placed in front of the safety door in order to secure the safety when sending up/down the safety door. When an interlock of this photoelectric sensor was operated, the safety door is inhibited to be sent up/down.

Safety door

Positions of operation key switches

Photoelectric sensor

UP

IN

DOWN OUT

WORK

LIFTER

PALET LOAD

SAFETY DOOR

PALLET SET

MANUAL

PALET CHANGE

AUTO PALET

CHANGE

PALET LIFT

SAFETYDOOR

Page 69: 004 Basic Bop Lea312 Ast

17. Other Machine Control Switches 17-6 Pallet area sensor

17– 15

17-6 Pallet area sensor

Area sensor is placed around the pallet changer in order to secure the safety for operator working with the pallet. When the interlock of area sensor is operated, the pallet operation is inhibited. However, the processing machine is not stopped even thought shielding this area sensor, as this pallet area sensor has a purpose of securing the safety at the pallet changer side.

17-6-1.「Area sensor reset」key switch

The shielded area sensor can be returned. (1) Area sensor return

1)When pressing the “Area sensor reset” key, the area sensor is returned from the shielding state.

2) It is not returned on the shielding state even though pressing the key. (2)Switch indicator

1)Indicator lights when shielding. 2)Indicator blacks out when sensing beam. 3)If it is shielded once, the indicator does not black out even though sensing beam, because the

shielding state is hold.

Pallet changer Processing machine

Sensor side

Radiator side

AREA

SENSOR RESET

UP

IN

DOWN OUT

WORK

LIFTER

PALET LOAD

SAFETY DOOR

PALLET SET

MANUAL

PALET CHANGE

AUTO PALET

CHANGE

PALET LIFT

AREA

SENSORRESET

Page 70: 004 Basic Bop Lea312 Ast

18. FDD Unit

18 – 1

18. FDD Unit

The processing programs saved on a 3.5-inch FDD (floppy disk) can be input, output, compared, erased, displayed in the directory and formatted. Refer to the separate "Screen Instruction Manual" for the operation methods. If the ACCESS lamp is lit, the internal head section is contacting the disk, so wait for the lamp to turn OFF before pressing the EJECT button. The 3.5-inch FLD and internal head are suspectable to dust, etc., so always close the lid during use and after use.

(Note) Only 3.5" FLDs formatted with the MS-DOS format can be used. • 2DD 720Kbytes (IBM (PC/AT) system) • 2HD 1.44Mbytes

Use the format for this control unit or the Mitsubishi automatic programming unit. The FLD formatted with the Mitsubishi LC10 Series control unit cannot be read with this control unit.

Face INDEX upward when inserting.

Page 71: 004 Basic Bop Lea312 Ast

19. Small Door (Serial Interface)

19 – 1

19. EXTERNAL CONNECTED PARTS 19-1. Serial Interface

These sockets are used for connection with serial interface (RS-232-C) I/O devices. Always turn the power OFF before connecting the cable as the devices could be damaged. Refer to the separate "Screen Instruction Manual" for details on the serial interface parameter settings and cable specifications.

(Note 1) If the socket fuse has blown, turn OFF the main power supply (no-fuse breaker), and replace with the enclosed 2-ampere glass tube fuse. Never use a fuse with a capacity of 2-ampere or more, as this could create a hazardous situation.

(Note 2) Remove the back panel of the control device(pendant) while connecting the cables.

19-2. Outlet Use the devices connecting to the outlet at AC100V and 2 ampere or less.

(Note ) When the fuse for outlet is blowout, turn OFF the main breaker(No fuse breaker) and change it with 2 ampere glass fuse of the accessory. For your safety, never use the fuse capable for over 2 ampere.

CF21

CF22

Printed board in the control device (pendant)

FUSE 2AAC 100V

Left side of the pendant

Page 72: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine

20 – 1

20. Basic Operation, Preparation of Machine

The basic operations from preparation to processing are carried out following the screen instructions. If the START switch is pressed to start the processing machine or control unit while working on the

screens, the switch will function as "execute" instead of "start" for automatic operation. (The white lamp on the START switch will light, and the menu keys will appear in yellow.) The basic operations

for the preparation are explained in this section. The flow of operations and the item Nos. of the menus corresponding to the operations are shown below. The details of each operation are explained in the section indicated with the numbers, so refer to these sections for details.

↓ 20.1.1 Power ON

Operation screen display (automatic) 20.1 Start Up

↓ 20.1.2 MAINTENANCE screen confirmation

~

Was height sensing unit changed?

↓ Yes

No

20.3 Height sensor calibration

When using for the first time after replacing the height sensor, the sensor must be calibrated.

→ ~

Is nozzle centering required?

↓ Yes

No

The nozzle must be centered before focusing. Even if focusing is not carried out, if the nozzle has been replaced or collided against the workpiece, the nozzle must be centered.

20.4 Nozzle centering 1 ↓

Is focusing required?

↓ Yes

No

→ ~

Focusing is required after replacing the processing head or lens.

20.5 Focusing ↓ → ~

20.6 Nozzle height adjustment ↓ → ~

20.7 Height sensor adjustment ↓ → ~

20.8 Nozzle centering 2 ↓

Is test processing required?

↓ Yes

No

→ ~

When carrying out very difficult processing, or when the processing material for which the processing conditions are not known, trial processing must be carried out.

20.9.1 Piercing time confirmation ↓ → ~

20.9.2 Condition setting ↓

20.9.3 Processing condition registration ↓

Preparation completed ↓

To processing

Preparation start

Page 73: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine

20 – 2

Using the Screen The screens will appear when the function selection keys are pressed. The names and roles of each screen section are shown below. Always read this section before using the screens.

Menu : When the menu key is pressed, the button will appear as if it is pressed down. This indicates which menu screen is currently opened.

In the selected menu, some turns from blue to yellow, and some remains blue. As for that the menu key turns from blue to yellow, the beam may be radiated or the processing table may move when pressing .

As for that the menu button remains blue, nothing is done even when pressing switch.

Menu screen : When the menu key is pressed the corresponding screen will appear. On the left side

of the screen, detailed work procedures for the operation item, etc., will appear. The work procedures may differ slightly depending on the processing machine model, but basically, the work can be carried out by following the instructions on the left side.

Submenu : When the submenu key is pressed, the corresponding process or screen will appear.

Menu

Menu screenScreen title

START

START

Submenu

Page 74: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-1 Start up

20 – 3

20-1 Start up 20-1-1 Power ON

1) Turn "ON" the power of the power distribution panel (customer facility) for the laser processing machine.

2) Start the cooling tower. 3) Start the purge compressor and processing compressor. 4) Open the assist gas valve. 5) Turn "ON" the laser processing machine power supply panel breaker.

C0 2

Las

er

Processing

Machine

ControlUnit

Page 75: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-1 Start up

20 – 4

6) Press the POWER ON/OFF switch on the operation box to ON .

The STARTUP screen will appear after the power is turned ON. The HD access lamp will flicker while starting up. The TITLE screen will appear shortly. When the READY screen appears and the HD access lamp turns OFF, the startup is completed.

(Note) If the temperature is less than 7°C, the hard disk will be warmed up when the power is

turned ON. (The hard disk will be warmed to 7°C.) The HD access lamp will flicker during the warm up operation. The start screen will appear and start up automatically when warming up is completed. It takes approx. 5 minutes for the temperature to rise 1°C.

7) After the READY key LED on the machine operation panel lights, turn the READY key switch to

the ON position.

O

HD

READY

ONOFF

READY

Page 76: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-1 Start up

20 – 5

20-1-2 Confirming the MAINTENANCE screen

Confirming the screen

1) After pressing the function selection key , press the menu button to display the

MAINTENANCE screen. 2) Check the alarm display, and carry out maintenance if required.

(Note 1) If "ALARM DIS SW-OV" is selected on the ON/OFF PARAMETER screen, when

there is a 100% (red) display in the alarm displays, or if "REPLACEMENT RECOMMENDED" is displayed, the MAINTENANCE screen will appear automatically when the power is turned ON.

(Note 2) Refer to the respective CO2 laser and processing machine instruction manual for the maintenance method of each item.

Item Details

1 CLEAN PR (OUTSIDE) Clean the outside surface of the PR mirror2 CLEAN PR (INSIDE) Clean the inner surface of the PR mirror 3 CLEAN FILTER Clean the filter on the CO2 laser power

supply panel 4 CLEAN Y STRAINER Clean the damper's Y-type strainer 5 CLEAN LENS Clean the processing lens 6 CLEAN BEND MIRROR Clean the bend mirror 7 CLEAN PHASE RETARDER Clean the phase retarder 8 LUBRICATING Lubricate the processing machine 9 COMPRESSOR DRAINAGE Drain the air compressor

10 CLEAN CONVEYOR DUST Clean the processing machine's chip conveyor

11 CHANGE LASER GAS Change the laser gas. 12 REPLACE ION EXCHANGE Replace the ion exchange resin

(Note 3) The default setting time for each item is a reference value, and should be

changed by the customer according to the processing machine usage state and environment. Note that depending on the CO2 laser or processing machine specifications, the sections corresponding to the items may not be provided. In this case, set the setting time to 0.

Page 77: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-1 Start up

20 – 6

20-1-3 Setting of preparation parameter

After pressing the function selecting key , when pressing the menu button , the

preparation parameter screen is displayed. The followings are operable in this screen.

・ The parameter used when preparing the processing can be set.

Preparation parameter screen

<Movement of the selection column>

This control unit equips a touch panel. Select the item with finger when moving to the selection column.

(1)Setting of the unit preparation program ①Program for unit preparation program is set. (2)Setting of the centering 1 program

①Program for centering 1 program is set. (3)Setting of focusing program

①Program for focusing program is set. (4)Setting of the centering 2 program

①①Program for centering 1 program is set. (5)Setting of test processing program

①Program for test processing program is set.

Page 78: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-2 Zero point return

20 – 7

20-2 Zero point return

1) After pressing the function selection key , press the menu button .

⇒ The READY screen will appear. The menu button will change from blue to yellow.

2) Press the START switch on the machine operation panel.

⇒ Zero point return will take place in the order of the Z axis, X axis and Y axis. "EXECUTION" will appear at the lower right of the screen. Preparation of the CO2 laser will start at the same time.

3) When a "#1" mark appears after each axis coordinate value on the right and "COMPLETE"

appears at the lower right of the screen, the zero point return is completed, and the CO2 laser is ready.

Page 79: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-2 Zero point return

20 – 8

4) Special notes

① If the key is pressed during "PREPARING DEVICES", the zero point return will stop. If

the STOP switch is pressed during "PREPARING DEVICES", the zero point return

operation and CO2 laser preparation operation will stop.

If the key is pressed during "PREPARING DEVICES", the zero point return operation

will stop, but the CO2 laser preparation will not stop until the operation is completed.

If is pressed during "PREPARING DEVICES", the CO2 laser preparation will stop, but

the zero point return will not stop until completed. However, the axis that has reached the approach speed (100mm/min.) will not stop until the zero point return is completed. To stop the movement while moving at the approach speed, press the key or the

EMERGENCY STOP button. To carry out zero point return again, start from step 1. ② During "PREPARING DEVICES", other menu keys on the setup screen cannot be selected.

③ If the processing head position before zero point return is already very close to the zero point return, the error "M10002 Z AXIS NOT CROSSED" may occur. In this case, move the processing head slightly away from the zero point and carry out zero point return again.

④ If a CO2 laser alarm occurs, the zero point return and CO2 laser preparation will stop.

Page 80: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-3 Height sensor calibration

20 – 9

20-3 Height sensor calibration

If a new height sensor has been installed due to replacement, etc., the height sensor must be calibrated.

1) Set the program No. for height sensor calibration corresponding to the height sensor being used.

This setting only needs to be made once.

① After pressing the function selection key, press the menu button. Then, press the next page key. ⇒ The HEIGHT SENSOR CALIBRATION screen will appear.

② Set the program No. for height sensor calibration for each sensor type.

When using the non-contact type height sensor, set as shown below. The sensor type name corresponding to the set sensor type No. will appear in the <COLUMN> cells. Set the program No. to 0 for sensor types not being used.

Input 9986 here, and press .

ERRROR ERRROR STATC-MPR STATC-APR ERRROR

0 9986 9986

9986 9986

Page 81: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-3 Height sensor calibration

20 – 10

) An example of the default settings is shown below.

Manual preset unit

2) After pressing the function selecting key , press the menu button .

⇒ The HEIGHT SENSOR CALIBRATION screen will appear. The menu button

will change from blue to yellow.

3) Carry out the work following the procedures displayed on the left screen.

The Nos. ① to ⑥ below correspond to the Nos. on the left screen. ① Install the nozzle being used.

② Connect the sensor cable.

③ Place a steel plate (2mm or thicker) on the work table.

• Place a steel plate with a thickness that will not droop even if the nozzle contacts it. ④ Lower the Z axis with handle feed so that the sensor data (hexadecimal display) on the right screen is

near 0000.

• Lower the Z axis to where the tip of the processing head nozzle touches the top of the workpiece. At this time, gradually lower the Z axis so that the nozzle does not collide with the workpiece and break. Stop at the position where the hexadecimal display of the sensor data changes from "FFF?" (? can be any character) to "000?". (For reference, the sensor data that starts with an F hexadecimal display is a minus voltage, and the data that starts with a 0 is a plus voltage. Therefore,

Page 82: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-3 Height sensor calibration

20 – 11

the position where the Z axis is stopped is near the 0 voltage.)

Page 83: 004 Basic Bop Lea312 Ast

Processing Head (Body)

Nozzle

Hot Rolled Mild Steel w/mill scale, t > .375”

Height Sensor Cable

Page 84: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-4 Centering 1

20 – 13

20-4 Centering 1 The nozzle must be centered for precise focusing. Even if focusing is not to be carried out, the nozzle must be centered when it has been replaced, when it has collided against the workpiece, or when the sparks are deviated during piercing.

1) After pressing the function selection key , press the menu button.

⇒ The LEAD SET 1 screen will appear.

2) Program number for centering 1 is set in the preparation parameter screen. For the setting method, refer to 20-1-3. This setting only needs to be made once.

Page 85: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-4 Centering 1

20 – 14

3) After pressing the function selection key , press the menu button.

⇒ The LEAD SET 1 screen will appear. The menu button will change from blue to

yellow.

4) Carry out the work following the procedure given on the screen. The Nos. ① to ⑦ below correspond to the Nos. in the LZ Series processing machine instruction manual.

Place a 2 to 3mm acrylic plate on the work table.

② Confirm that N2 gas comes out.

Confirm that the visible beam is passing through the nozzle to the bottom.

• If the visible beam is not output from the nozzle, move the nozzle with the nozzle adjustment knob

until the beam is emitted.

Nozzle adjustment knob

Nozzle

Movable cylinder

Nozzle hole

Nozzle adjustment knob (B)

Movable cylinder

Nozzle adjustment knob (A)

To move the nozzle in the direction of the arrow, loosen knob (A) and tighten knob (B), and then move.

Page 86: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-4 Centering 1

20 – 15

Lower the processing head so that the clearance between the nozzle and acrylic plate is approx. 1mm.

• Move the processing head over the acrylic plate position with rapid traverse or handle feed, and adjust the clearance between the nozzle and the acrylic board to approx. 1mm with handle feed.

Press the START switch on the machine operation panel.

⇒ After the laser beam is emitted, the work table will move several mm in the + x direction. (The program set on the LEAD SET 1 screen will start.) Correct the program when the table is not to be moved. The message "IN EXECUTION" will appear on the lower right of the screen. When the movement is completed, the message "COMPLETE" will display.

Adjust the centering with the nozzle adjustment knob.

• Check the state of the assist gas flow (white stain) around the beam spot on the acrylic plate, and adjust the position of the nozzle using the nozzle adjustment knob.

• Move the nozzle to the opposite direction of the white stain to match the center of the gas flow with the beam spot.

Repeat the following steps until the center of the assist gas flow and beam spot are matched.

• Select the menu key

.

Press the START key. (Carry out step ⑤.)

Adjust the centering with the adjustment knob. (Carry out step ④.)

4) Special notes

① If the STOP switch is pressed during "EXECUTION", the process will stop. To resume the

process, press the START switch again.

② Other menu keys cannot be selected during "EXECUTION". However, screens other than the operation screen can be entered.

③ If an error occurs during "EXECUTION" and the STOP switch is ON, cancel errors that can

be canceled in the feed hold state, and press the START switch to resume the process.

④ If the key is pressed during "EXECUTION", the process will stop. Note that if the

key is pressed, the data input/output operation being performed with a computer link,

etc., will also stop. Confirm that data is not being input or output before pressing the

Zoom spot (hole)

Assist gas flow trace (white stain)

Completion of adjustment

Page 87: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-4 Centering 1

20 – 15

key. To resume centering, repeat the procedure from item 3) step ⑥.

Page 88: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-5 Focusing

20 – 16

20-5 Focusing

1) After pressing the function selection key , press the menu button

.

⇒ The FOCUS SET screen will appear.

2) Program number for Focus is set in the preparation parameter screen. For the setting method, refer to 20-1-3. This setting only needs to be made once.

Page 89: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-5 Focusing

20 – 16

3) After pressing the function selection key , press the menu button .

⇒ The FOCUS SET screen will appear. The menu button will change from blue to

yellow.

4) Carry out the work following the steps displayed on the left screen. The following Nos. ① to ⑥ correspond to the Nos. on the left side of the screen.

① Place steel plate (2mm or more) on the work table.

• Material ... SPC or SUS (High reflection material such as Al, Cu, etc., should never be used.)

② Lower the processing head so that the clearance between the nozzle and steel plate is approx.

10mm.

• Move the processing head over the steel plate position with rapid traverse or handle feed, and adjust the distance between the nozzle and the plate to approx. 10mm with handle feed.

③ Press the START switch on the machine operation panel.

⇒ The processing head will move while emitting the beam. (The program set on this screen will be

executed. The movement path and movement program list for program No. 9400 are shown below.)

Page 90: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-5 Focusing

20 – 17

[Movement path] ④ Raise and lower the processing head by turning the handle during movement, and adjust the beam

(blue flame) so that it is at the brightest position. The focal point is on the workpiece surface when the beam is the brightest. (The handle move 100μm per 1 pulse.)

MELLASER

- +0

50

• When the beam has been adjusted to the brightest position, press the key, and stop the

program. • The message "COMPLETE" will appear at the lower right of the screen.

RESETHD

READY

⑤ Press the submenu .

• "POSITION" for the Z axis will change to "0".

1

23 4 5

67

Program start point

[Program list]

M 100 [A, 0.0] ...... Focusing processing condition file search

M 103................... Focusing processing condition data selection

G 91 ..................... Incremental value command M 66..................... Shutter open M 120................... Gas, beam ON G 1 X 200. .......... X axis movement 1 Y −5 .................... Y axis movement 2 X −200. ............... 3 Y −5. ................... 4 X 200. ................. 5 Y −5. ................... 6 X −200. ............... 7 M 121................... Gas, beam OFF M 67..................... Shutter close M 30 ................... Program end

Page 91: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-5 Focusing

20 – 18

• If the blue flame is not visible, generate the blue flame with the following method. Raise the power, and carry out centering with the adaptor raised. If the brightest position is not easy to see, find the focal point with the following method. Raise and lower the handle and set the Z axis's min. value where the beam changes from orange to

blue.

Press the submenu .

• The Z axis [POSITION] setting will be set to 0. Next, raise and lower the handle, and set the Z axis's

max. value where the beam changes from blue to orange. Read the [POSITION] Z value displayed on the right screen, and lower the Z axis to the value half

of the displayed value. This position will be the focal point. Press the key here.

• Take special care not to contact the processing head and workpiece when raising and lowering the Z axis with the handle.

(Note) When using the non-contact type height sensor, the sensor cable must be disconnected to

rotate the processing head adaptor. If the collision prevention ring is installed, remove this also.

4) Special notes

① If the STOP switch is pressed during "EXECUTION", the process will stop. To resume the

process, press the START switch again.

② Other menu keys cannot be selected during "EXECUTION", however, screens other than the setup screen can be opened.

③ If an error occurs during "EXECUTION" and the STOP switch is ON, cancel errors that can

be canceled in the feed hold state, and press the START switch to resume the process.

④ During "EXECUTION", HANDLE INT Z on the ON/OFF PARAMETER screen and the speed selection are internally fixed to 100μm. Thus, HANDLE INT Z and the speed selection cannot be changed during "EXECUTION".

Z value a

Focal point Blue flame

Z value 0.000

Orange

Orange

a2

a2

Page 92: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-6 Nozzle adjustment

20 – 19

20-6 Nozzle adjustment

When using the manual preset unit, adjust the lens position. Normally, the nozzle height is adjusted to 1mm with the focus on the workpiece surface. However, when cutting aluminum or stainless steel with air, the focus will change during processing, so the lens position is adjusted.

During piercing During pulse cutting During CW cutting Remarks

Pattern 1 (general)

• Oxygen cutting of 6mm or less mild steel plates • Oxygen cutting of stainless steel plates

To set the focus to the common material surface for piercing, pulse processing, and CW processing.

Pattern 2

• Oxygen cutting of 9mm or 12mm or more mild steel plates

To set the focus to the material surface for piercing or pulse processing, but to raise the focus for CW processing. The nozzle height is set to 1mm for piercing.

Pattern 3

• Air cutting and nitrogen cutting of aluminum or stainless steel plates

To set the focus to the material surface for piercing, but to lower the focal point during cutting. In this case, the nozzle height is set to 1mm for cutting.

1mm 1mm 1mm

1mm 1mm

Focus

1mm 1mm

Page 93: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-6 Nozzle adjustment

20 – 20

1) Set the focal point to the workpiece surface. (State in which "20.5 Focusing" is completed.)

2) After pressing the function selection key , press the menu button .

⇒ The NOZZLE GAP ADJUSTMENT screen will appear.

3) After pressing the function selection key , press the menu button.

4) Press the submenu button to display the condition search screen. 5) Select the MATL. and THICK of the processing condition file using the

and

keys.

Then, press button..

Page 94: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-6 Nozzle adjustment

20 – 21

6) Carry out the work following the procedures given on the left screen. The Nos. ① to ⑤ below correspond with the Nos. on the left screen.

① Confirm that the Z axis [POSITION] setting (displayed in middle of right screen) is 0.

② Raise and lower the Z axis with handle feed, and adjust so that the clearance between the nozzle and steel plate is 1mm.

③ Move the processing lens manually in the reverse direction by the value of the Z axis coordinate value displayed on the right of the screen.

④ Set the lens movement scale to 0.

Confirm that the Z axis current value is 0.

A nut with a bundle A nut with a bundle

Cartridge

Lens move scale

Adjustment ring

Page 95: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-6 Nozzle adjustment

20 – 22

⑤ Press the submenu key and search for the conditions to be processed next.

Press the submenu key to display the "CONDITION SEARCH" screen.

Select the MATL. and THICK of the processing condition file using the and

keys.

Then, press the key.

Check the MTRL. and THKNS displays on the right of the screen to confirm that the processing condition file has been called out correctly. When processing with a close focus, (when "NOZZLE-FOCUS GAP" is set to a value other than 1), lower the lens position. Lower the lens by an amount obtained by subtracting the "NOZZLE-FOCUS GAP" from 1mm.

Lenz position 0[mm]

Lenz positiona[mm]

Nozzle height 1[mm]

Page 96: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-7 Adjustment of height sensor

20 – 23

20-7 Adjustment of height sensor

1) After pressing the function selection key , press the menu button .

⇒ The HEIGHT SENSOR UNIT ADJUSTMENT screen will appear. The menu button will

change from blue to yellow.

2) Carry out the work following the procedures displayed on the left screen. The Nos. ① to ⑩ below correspond to the Nos. on the left screen.

① Set a steel plate (2mm or more) on the work table. Place a steel plate that has a thickness of 2mm or more on the work table. (The same plate

used for focusing is fine.)

② Turn the key ON and OFF, and confirm that hunting or collisions do not occur.

Page 97: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-8 Centering 2

20 – 24

20-8 Centering 2 After "20-6 Nozzle Adjustment", the nozzle must be centered.

1) After pressing the function selection key , press menu button .

⇒ The LEAD SET 2 screen will appear.

LEAD SET 2

2) Program number for focusing is set in the preparation parameter screen. For the setting method, refer to 20-1-3. This setting only needs to be made once.

Page 98: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-8 Centering 2

20 – 25

3) Carry out the work following the procedures displayed on the left screen. The Nos. ① to ⑦ below correspond to the Nos. on the left screen.

① Place a 2 to 3mm acrylic plate on the work table.

② Confirm that the N2 gas is discharged.

③ Confirm that the visible beam is passing through the nozzle to the

bottom.

If the visible beam is not output from the nozzle, move the nozzle with the nozzle adjustment knob until the beam is emitted.

④ Lower the processing head so that the focal point is on the acrylic plate.

(Note) If using the non-contact type height sensor, do not use height control when lowering the processing head. (The height control will not sense the acrylic surface.)

⑤ Press the START switch on the machine operation panel.

⇒ After the laser beam is emitted, the work table will move several mm in the + x direction.

(The program set on the LEAD SET 2 screen will start. Correct the program when the table is not to be moved.) The message "EXECUTION" will appear on the lower right of the screen. When the movement is completed, the message "COMPLETE" will display. The menu key will change from yellow to blue.

For moving visible light in the direction of an arrow , the knob(B) is fastened for the knob(A) with slight looseness. If both the knob(A) and the knob(B) are fastened equally, visible light will move in the direction of an arrow , and if it loosens equally conversely, it will move in the opposite direction.

Nozzle adjustment knob(A)

Nozzle adjustment knob(B)

Nozzle adjustment knob(A) Nozzle adjustment knob(B) Nozzle

Page 99: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-8 Centering 2

20 – 26

⑥ Adjust the centering with the nozzle adjust

knob.

Check the state of the assist gas flow (white stain) around the beam spot on the acrylic plate, and adjust the position of the nozzle using the nozzle adjustment knob.

Move the nozzle toward the direction of the white stain to match the center of gas flow with the beam spot.

⑦ Repeat the following steps until the center of the assist gas flow and beam spot are matched.

Select the menu key . Press the START key. (Carry out step ③.)

Adjust the centering with the adjustment knob. (Carry out step ④.)

Completion of adjustment

4) Special notes

① If the STOP switch is pressed during "EXECUTION", the process will stop. To resume the

process, press the START switch again.

② Other menu keys cannot be selected during "EXECUTION". However, screens other than the setup screen can be entered.

③ If an error occurs during "EXECUTION" and the STOP switch is ON, cancel errors that can

be canceled in the feed hold state, and press the START switch to resume the process.

④ If the key is pressed during "EXECUTION", the process will stop. To resume

centering, repeat the procedure from item 2) step ⑦.

Trace of assist gas flow (white stain) Beam spot (hole)

Page 100: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-9 Trial processing

20 – 27

20-9 Trial processing Carry out "trial processing" before actual processing (product processing) when processing difficult material such as 6mm or thick mild steel plates, aluminum cutting, SUS non-oxidized cutting, air cutting, or when processing material for which the processing condition file is not registered and the conditions are unknown. 1) Perform trial processing.

After pressing the function selection key , press the menu button .

⇒ The TRY PROCESSING screen will appear. TRY PROCESSING screen

② Program number for the test processing is set in the preparation parameter screen.

For the setting method, refer to 20-1-3. The program of 50 angles (with R) is chosen at the time of shipment. ③ Set the processing workpiece.

④ Press the START switch.

⇒ The program set in step ② will be executed. When a processing result is not good after carrying out A, the button which suited the quality of

the material (In the case of THICK MILD STEEL PLATE ) is pushed. If the pierce time or processing conditions, etc., need to be changed after trial processing, carry out section "20-9-1" or "20-9-2".

Page 101: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-9 Trial processing

20 – 28

20-9-1 Confirming the piercing time Call out the processing condition file corresponding to the material to be processed. If the processing condition file is not registered and the conditions are unknown, call out the processing condition file from the registered files having the closest conditions to the material to be set.

1) After pressing the function selection key , press the menu button .

2) Call out the appropriate processing condition file. When the submenu button is pressed,

the CONDITION SEARCH screen will appear. Move the cursor to the position of the processing condition file to be called out, or set the material and plate thickness, and press.

3) Confirm that the set processing condition file has been called out by viewing the MATL. and THICK condition display in the processing conditions on the upper left of the CONDITION SETTING screen.

4) Select the processing condition data to be the base for the condition setting with the following

procedure.

(1) Select the processing condition data for piercing. The number 1 (#1) is set for processing condition data for piercing, so move the cursor to the

first line and press the button.

Confirm that the "GAS PRESSURE" for the processing condition data marked with the number 1 is set to a value low enough so that burning does not occur.

(2) Confirm that the line of selected processing condition data on the screen changes to blue.

5) Place the workpiece on the work table.

6) Move the processing head to the edge of the workpiece with rapid traverse or handle feed, and lower the processing head with handle feed or height control so that the focal point is on the workpiece surface. (Focal point for piercing.)

When using height control, press the key after lowering to turn the height hold ON (sensor

up).

Page 102: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-9 Trial processing

20 – 29

7) Carry out piercing with the following procedure, and measure the time it takes for the laser beam to penetrate the workpiece.

(1) Open the shutter.

Press the key and key simultaneously, and open the shutter.

(2) Carry out piercing.

Press the key and key simultaneously to radiate the laser beam.

(3) Measure the piercing time. The piercing with start with steps (1) and (2), and is completed when the laser beam penetrates the workpiece and an orange spark (molten material) is discharge from the back side of the processing material. Thus, using a watch, etc., measure the time from when the beam turns "ON" in step (2), to when the piercing ends.

(4) After the time has been measured, press the key and then press the key.

If the height control is ON, turn it OFF.

Page 103: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-9 Trial processing

20 – 30

8) Input the piercing time with the following procedure. • If a piercing time with an allowance compared to the piercing time measured in step 7) is set,

the piercing times does not need to be input again. • If a piercing time shorter than the piercing time measured in step 7) is set, the piercing time

must be input again.

In this case, input the piercing time measured in step 7) plus a minimum of one second as the new piercing time. Set a piercing time with an allowance especially when processing thick plates.

(1) Move the cursor to the "PIERC" cell on the first line of the processing condition data on the

CONDITION SETTING screen.

(2) Set the piercing time in the PIERC cell, and press the key.

(Example) When setting the piercing time to 5 seconds, set as shown below, and press the key.

Page 104: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-9 Trial processing

20 – 31

20-9-2 Condition setting

1) Select the processing condition data to be used as the base for setting the conditions with the following procedure.

(1) Press the menu button to display the CONDITION SETTING screen.

(2) Select the processing condition data to be used for processing.

Move the cursor to the processing condition data and press the button.

(3) Confirm that the processing condition data selected on the screen changes to blue.

2) Appropriately adjust the gas pressure in the processing condition data. 3) Place a workpiece on the work table. 4) Move the processing head to the edge of the workpiece with rapid traverse or handle feed, and

lower the processing head with handle feed or height control to the required focal point in respect to the workpiece surface.

When using height control, press the key after lowering to turn the height hold ON. (The

sensor moves up when using the contact-type height sensor.) 5) Move the processing head from the position in step 4) to outside the processing workpiece.

In this case, the processing head will not change in the height (Z direction). It will move only in the X and Y direction. If height control is used in step 4) and the height hold is not turned ON, the processing head will lower after moving outside the workpiece.

6) Press the key on the machine operation panel to enter the jog feed mode.

7) Carry out trial processing.

(1) Open the shutter.

Press the key and key simultaneously, and open the shutter.

Page 105: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-9 Trial processing

20 – 32

(2) Turn the beam ON.

Press the key and key simultaneously to radiate the laser beam.

(3) Press the AXIS FEED SELECTION keys , , , and to move the processing

head and cut. In this case, the movement will start from outside the workpiece as shown below, and after cutting, will return to outside the workpiece. With jog feed, the movement will take place at the speed set in the execution processing conditions data.

(4) When done with the movement, press the key and then press the key.

8) Remove the cut workpiece, and confirm the appearance.

9) If the processing conditions need to be changed, correct them on the CONDITION EDITING

screen with the following procedure.

(1) Press the menu button to display the CONDITION SETTING screen.

(2) Move the cursor to the processing condition data to be created, set the data, and press the key.

Usually, the appearance can be improved by increasing the power or decreasing the speed.

Page 106: 004 Basic Bop Lea312 Ast

20. Basic Operation, Preparation of Machine 20-9 Trial processing

20 – 33

(Example) To correct condition 5 power to 2700W and duty to 75%, set as shown below.

(Note) As the above trial processing starts processing from outside the workpiece, it is not possible to process with tracing with height control. Thus, when setting the focal point conditions, find the optimum focal point by moving the Z axis with handle feed, and set the focus according to that position. When the focus setting has been changed, carry out "20-5 Nozzle Adjustment", "20-6 Adjustment of Height Sensor" and "20-7 Centering" again.

10) Repeat steps 7) to 9) to set the optimum conditions.

If height control is ON, turn it OFF. 20-9-3 Registering the processing conditions

The correction of conditions is carried out in respect to the processing conditions file called into the processing condition buffer with condition search. Thus, the original processing condition file registered in the memory is not changed. To register the processing condition file corrected with trial processing into the memory, the processing conditions must be registered.

1) Press the menu button to display the CONDITION SETTING screen.

2) Set the material, plate thickness and comment to be registered in the setting area, and press the

menu button . If the same material and plate thickness as a registered processing condition

file is set, the data will be overwritten.

Page 107: 004 Basic Bop Lea312 Ast

21. Basic Operation, Processing

21 – 1

21. Basic Operation, Processing

The basic operations from preparation to processing are carried out on the various menu screens that

appear when the key on the machine operation panel is pressed.

The basic operations for processing with memory operation are explained in this section. The flow of operations and the item Nos. of the menus corresponding to the operations are shown below. The details of each operation are explained in the section indicated with the numbers, so refer to these sections for details. When carrying out continuous processing over a long time, check the maintenance state on the MAINTENANCE screen before starting.

Workpiece replacement, focal point change

To machine preparation When replacing the workpiece material and carry

out other processing after processing one workpiece, if the focus setting differs from the first process, preparation must be carried out again from "20-6 Nozzle Height Adjustment".

Is program input required?

↓ Yes

No

If the processing program is not registered in the memory, it must be input.

21-1 Program input ↓

~

21-2 Multiple setup ↓

→ ~

21-3 Program search ↓

→ ~

21-4 Processing condition search

~

Is graphic check required?

↓ Yes

No

If the program shape needs to be confirmed, graphic check must be carried out.

21-5 Graphic check ↓

→ ~

21-6 Parameter confirmation ↓

21-7 Processing ↓

Is processing condition adjustment required?

↓ Yes

No

→ ~

When the processing state is confirmed during processing as is not as expected, the processing conditions must be adjusted.

21-8-1 Adjustment of speed, power, frequency and duty

21-10 Adjusting the processing conditions

↓ To post-processing

Processing start

Processing completion

Page 108: 004 Basic Bop Lea312 Ast

21. Basic Operation, Processing 21-1 Program input

21 – 2

21-1 Program input If the processing program is not registered in the CNC, the program must be input.

1) After pressing the function selection key , press the menu button.

⇒ The DATA INPUT/OUTPUT screen will appear.

DATA INPUT/OUTPUT screen

Page 109: 004 Basic Bop Lea312 Ast

21. Basic Operation, Processing 21-1 Program input

21 – 3

2) Input the processing program with the following procedure.

<Movement between selection areas> This control unit equips a touch panel. Press the item with finger when moving to the selection column.

① Select in the screen.

② Select with finger the drive where the program to be input is stored from the setting of drive

in the screen.

⇒ 1) The directory of the selected drive will appear.

Page 110: 004 Basic Bop Lea312 Ast

21. Basic Operation, Processing 21-1 Program input

21 – 4

③ Select the directory where the program to be input is stored, from the setting of directory on

the left of screen, and press .

⇒ 1) All of the processing program files (*.nc) stored in the selected directory will appear in

the file list on the right side. 2) The active selection area will move to the file list.

④ Select the processing program to be input from the list of displayed input/output side files with the finger.

Note) When NC program is not being selected, it will be selected by pressing once with finger. When NC program is being selected, it will be not selected by pressing once with finger.

Press the submenu button .

⇒ The NC INPUT screen for inputting the selected processing program in the CNC side will

appear. (Example) When 100.nc is selected.

Page 111: 004 Basic Bop Lea312 Ast

21. Basic Operation, Processing 21-1 Program input

21 – 5

NC INPUT screen

The processing program name can be changed on this screen. In this case, input the changed name into the column at NC side on the lower of screen, and press key.

<Other functions>

There are several other functions, such as program multiple input function and program name duplication prevention function, which can be used to input the processing program. Refer to the separate Screen Instruction Manual "3-2. Input/output" for details on these functions.

⇒ The input will start. The message "INPUTTING" will appear at the lower right of the NC

INPUT screen. The input is completed when the message disappears. The NC INPUT screen will also

close automatically at the same time. ⇒ The input processing program will appear at the NC side file list on the lower half of the

DATA INPUT/OUTPUT screen.

Page 112: 004 Basic Bop Lea312 Ast

21. Basic Operation, Processing 21-2 Multiple setup

21 – 6

21-2 Multiple setup

1) After pressing the function selection key , press the menu button .

⇒ The MULTIPLE SET screen will appear.

MULTIPLE SET screen

2) Start the multiple setup program with the following procedure.

① Change the part program to the subprogram.

Add M99 before the M30 at the end of the part program.

(Example)

If diameter compensation, mirror image and coordinate rotation are validated in the part program, cancel these before M99.

• • •

M99 M30

• • •

M30

Page 113: 004 Basic Bop Lea312 Ast

21. Basic Operation, Processing 21-2 Multiple setup

21 – 7

② Set the required items on the MULTIPLE SET screen.

Step 1) Display the MULTIPLE SET screen.

Step 2) Set "#1 SEET SIZE S". Set the X and Y dimensions of the base sheet onto which the parts are to be laid out.

When the sheet size is set, and then "#2 PART SIZE P" and "#3 WEB SIZE G" are set, the max. No. of parts that can be laid out on the sheet will be automatically set in "#4 GRID SIZE N". (Note) If "#4 GRID SIZE N" is directly set, the multiple setup will not be affected even

if "#1 SEET SIZE S" is not set.

Step 3) Set "#2 PART SIZE P" and "#3 WEB SIZE G". Set the max. width (max. width when viewing the parts as rectangles) of the X and Y

directions of the parts to be laid out in "#2 PART SIZE P". Set the gap (gap when viewing the parts as rectangles) of the X and Y directions of the parts to be laid out in "#3 WEB SIZE G".

The "PART SIZE + WEB SIZE" will be the pitch for multiple setup.

Step 4) Set "#4 GRID SIZE N". Set the No. of parts laid out in the X and Y directions in "#4 GRID SIZE N". If "#1

SEET SIZE S", "#2 PART SIZE P" or "#3 WEB SIZE G" is set, the "P/(P+G)" calculation results will be automatically set as the max. No. of parts that can be laid out.

If the actual No. of laid out parts differs from the calculation results because the processing start position is not the edge of the base sheet, etc., reset the No. of parts that can be laid out.

Step 5) Set "#5 SKIPPED PARTS NJ". When starting processing from the middle of the layout, set how many parts to skip.

For example, if "5" is set "#5 SKIPPED PARTS NJ", processing will start from the 6th part.

If "0" is set, processing will start from the beginning. This setting is automatically incremented by one when a part is processed during

multiple setup, and functions as the "counter" which indicates which part is being processed currently. "5" is displayed when processing the 5th part.

Thus, if the multiple setup is canceled for any reason, and processing is to be resumed, how far the processing has proceeded is displayed, so the No. of parts to be skipped does not need to be set.

Step 6) Set "#6 ENDING PART NO.NE" When stopping the processing in the middle of the layout, set how many parts to

process before stopping. If "0" is set, processing will take place to the end (all laid out parts set in "#4 GRID

SIZE N".

Step 7) Set "#7 PART PROG. NO.". Set the program No. for the laid out parts. For this setting, set the part program changed to the subprogram in item ①.

Step 8) Confirm "#8 MAIN PROG. NO.". This setting does not affect the multiple setup function, but is set as a memo of the

multiple setup main program No. to be searched and executed. The standard multiple setup main program is 9990. (Note) If the multiple setup file is designated with "21-11 Processing Administration

Function" described in this manual, the program searched in "#8 MAIN PROG. NO." will be searched for. Thus, when using the processing administration, always set the main program No.

Page 114: 004 Basic Bop Lea312 Ast

21. Basic Operation, Processing 21-2 Multiple setup

21 – 8

Step 9) Set "#9 MOVEMENT TYPE" and "#10 DIRECTION". Set the processing path type for multiple setup in "#9 MOVEMENT TYPE", and set

multiple setup processing direction for the X and Y axes in "#10 DIRECTION". Set "0" for the plus direction, and "1" for the minus direction. Refer to the separate "Screen Instruction Manual" when setting "#9 MOVEMENT

TYPE" and "#10 DIRECTION".

When the submenu key is pressed, the multiple setup type can be set easily

by inputting the type selected from the screen into "TYPE NO" displayed at the lower right of the screen and pressing the key.

TYPE SELECT screen

(Example) When "2" is input in "TYPE NO" and the key is pressed.

"#9 MOVEMENT TYPE" and "#10 DIRECTION" will be automatically set as shown

above.

Step 10) Set "#11 START POINT". Set the position to start the multiple setup layout using the current processing head position as a reference.

Page 115: 004 Basic Bop Lea312 Ast

21. Basic Operation, Processing 21-3 Operation search

21 – 9

21-3 Operation search

1) After pressing the function selection key , press the menu key .

⇒ The OPERATION SEARCH screen will appear.

OPERATION SEARCH screen

INPUT

Page 116: 004 Basic Bop Lea312 Ast

21. Basic Operation, Processing 21-3 Operation search

21 – 10

2) Operation search (memory search) is carried out with the following procedures.

<Movement between selection areas (setting areas)>

① Select the processing program to be searched for from the program selection areas at the center of the OPERATION SEARCH screen. Selection method 1) Select from the program list using the finger. Selection method 2) Directly input the program No. into the program No. setting area.

Note) For multiple setup, select the multiple setup main program No.

<Other functions> Operation search includes functions such as N.B search and directory search. Refer to the separate "Screen Instruction Manual" for details.

Program No. setting area

Program selection area

Page 117: 004 Basic Bop Lea312 Ast

21. Basic Operation, Processing 21-3 Operation search

21 – 11

② Press the key.

⇒ The search will start.

⇒ When the search is completed, the message "SEARCH COMPLETED" will appear at the lower right of the screen.

⇒ The searched No. will appear at the upper left of the screen.

Page 118: 004 Basic Bop Lea312 Ast

21. Basic Operation, Processing 21-4 Condition setting

21 – 12

21-4 Condition setting

1) After pressing the function selection key , press the menu key .

⇒ The CONDITION SETTING screen will appear.

CONDITION SETTING screen

Page 119: 004 Basic Bop Lea312 Ast

21. Basic Operation, Processing 21-4 Condition setting

21 – 13

2) Set the conditions with the following procedure.

① Carry out condition search.

Press the submenu button. ⇒The CONDITION SEARCH screen will appear to call out the processing conditions file.

<Movement between selection areas (setting areas)>

This control unit equips a touch panel, so touch with finger when selecting.

CONDITION SEARCH screen

• Select the conditions to be set from the displayed conditions.

Selection method 1) Select the condition from the list using the , , and

keys. Selection method 2) Directly input the material and plate thickness in the MATL. and THICK

setting areas.

② Press button.

⇒ When the condition search is completed, the CONDITION SEARCH screen will

automatically close, and the searched conditions will appear on the CONDITION SETTING screen.

Condition list

Material and plate thickness setting area

Page 120: 004 Basic Bop Lea312 Ast

21. Basic Operation, Processing 21-5 Graphic check

21 – 14

21-5 Graphic check The shape of the processing program can be checked.

1) After pressing the function selection key , press the menu button .

⇒ The GRAPHIC screen will appear

GRAPHIC screen

2) Use the following procedure to check the processing program shape.

① Carry out check search (search for the processing program of which the shape is to be checked).

• Press the submenu key .

⇒ The CHECK SEARCH screen will appear.

<Movement between selection areas (setting areas)> This control unit equips a touch panel, so touch with finger when selecting.

Page 121: 004 Basic Bop Lea312 Ast

21. Basic Operation, Processing 21-5 Graphic check

21 – 15

CHECK SEARCH screen

• Select the processing program to be graphic checked from the displayed processing programs. Selection method 1) Select from the program list using the finger. Selection method 2) Directly input the program No. into the program No. setting area.

Press button.

③ Press the submenu button .

⇒ The shape of the searched processing program will be drawn on the GRAPHIC screen.

3) Use the following procedure to check the shape of an operation searched program.

① Carry out operation search.

② Press the submenu button .

⇒ The shape of the processing program, currently operation searched, will be drawn on the

GRAPHIC screen.

<Other functions> Functions such as the automatic scale function, T scale, trace function, step check function and display mode changeover function, etc., are provided on this GRAPHIC screen. Refer to the separate "Screen Instruction Manual" for details on these functions.

Program No. setting area

Program selection area

Page 122: 004 Basic Bop Lea312 Ast

21. Basic Operation, Processing 21-6 Parameter confirmation

21 – 16

21-6 Parameter confirmation

The parameters set on each screen can be confirmed by pressing the function selection key

and then the respective menu key. If there are any parameters that are frequently turned ON or OFF, use this function to confirm whether the parameter has been turned ON or OFF.

Page 123: 004 Basic Bop Lea312 Ast

21. Basic Operation, Processing 21-7 Processing

21 – 17

21-7 Processing

1) After pressing the function selection key , press the menu button .

⇒ The PROCESSING screen will appear.

PROCESSING screen

2) To change the value of the [HGT SENSOR] parameter displayed at the lower left of the PROCESSING screen, use the following procedures. If the value does not need to be changed, proceed to step 3).

<Setting the approach point>

Set this when using the G0 approach function.

① Set the approach point to 0 on the SENSOR CONTROL PARAMETER screen (press the

function selection key , and then the menu button ).

② Move the processing head to over the workpiece using rapid traverse or handle feed.

Page 124: 004 Basic Bop Lea312 Ast

21. Basic Operation, Processing 21-7 Processing

21 – 18

After pressing the function selection key , press the menu button to display the

PROCESSING screen.

④ Press the key on the machine operation panel to turn the height control ON.

⇒ The Z axis will lower and stop at the set focal point with height control.

⑤ Confirm the Z axis [MACHINE] value on the PROCESSING screen.

⑥ Display the SENSOR CONTROL PARAMETER screen.

⑦ For the approach point, set a coordinate value approx. 10mm to 20mm above the [MACHINE]

value confirmed in step ⑤.

Example) To set the coordinate value to −80, set as shown below, and then press the

key.

⑧ Press the key to turn the height control OFF.

Note) The G0 approach function is effective for high-speed focus positioning when the Z

axis lowering distance is long. However, if the approach point setting is mistaken, the nozzle could collide with the workpiece. For safety purposes, the approach point will be set to 0 when the power is turned ON unless the height control parameter "APPROACH HOLD" is turned ON.

<Evasion amount setting>

Set the Z axis evasion amount for when height control is OFF. If the evasion amount is too large, it will take time to move. However, if too small, the nozzle could interfere with an inclined cut workpiece. Thus, set an optimum value according to the workpiece and processing details.

① Set the evasion amount on the SENSOR CONTROL PARAMETER screen (press the

function selection key , and then the menu key ).

Example) To set the movement amount to 50mm, set as shown below and then press the

key.

Page 125: 004 Basic Bop Lea312 Ast

21. Basic Operation, Processing 21-7 Processing

21 – 19

3) Select the rapid traverse override for the rapid traverse command (G00). • Press the RAPID OVERRIDE RATE keys on the machine operation panel to select a random

override.

4) Press the START switch on the machine operation panel.

⇒ Automatic operation of the program searched for will start in the memory mode.

5) Special notes

① If the STOP switch is pressed during automatic operation, the feed hold state will be

entered. When the START switch is pressed again, the operation will continue.

RAPID OVERRIDE RATE

10% ( )% 100%

Page 126: 004 Basic Bop Lea312 Ast

21. Basic Operation, Processing 21-10 Adjusting the processing conditions

21 – 20

21-10 Adjusting the processing conditions

The execution processing condition data can be adjusted after viewing the processing state. The processing conditions that can be adjusted are the speed, power, frequency, duty, focus, assist gas pressure, pierce time, nozzle height, power override and speed override.

21-10-1 Adjusting the speed, power, frequency, duty, focus, assist gas pressure, pierce

time, nozzle height, power override and speed override

① Press the key on the operation

panel.

Processing conditionadjustment key

CONDADJ

Condition adjustmentknob

⇒ The CONDITION ADJUSTMENT screen will appear.

CONDITION ADJUSTMENT screen

Page 127: 004 Basic Bop Lea312 Ast

21. Basic Operation, Processing 21-10 Adjusting the processing conditions

21 – 21

<Movement between setting items> This control unit equips a touch panel, so touch with finger when selecting.

② Set the cursor to be adjusted on the CONDITION ADJUSTMENT screen, and turn the condition adjustment knob. The value can also be directly input into the setting item.

③ Press the key.

⇒ The execution processing condition data displayed on the left side of the PROCESSING

screen. At this time, the processing condition data selected on the CONDITION SETTING screen will also change.

Note) For the speed and power, an override can be applied by adjusting the speed

override and power override values.

Page 128: 004 Basic Bop Lea312 Ast

22. Basic Operation, Post-Processing

22 – 1

22. Basic Operation, Post-Processing

The flow of the processing machine's basic post-processing is shown below. The details of each operation are explained in the section indicated with the numbers, so refer to these sections for details.

Is processing condition registration required?

Yes

No

If the conditions changed during processing are to be saved, the processing conditions must be registered.

22.1 Processing condition registration

→ ~

22.2.1 Product removal

22.2 Product and scrap removal

22.2.2 Scrap removal

22.3 Power OFF

Post-processing start

Post-processing completion

Page 129: 004 Basic Bop Lea312 Ast

22. Basic Operation, Post-Processing 22-1 Processing condition registration

22 – 2

22-1 Processing condition registration

If the contents of the processing condition file have been changed, due to adjustment of the processing conditions during processing, etc., and the file is to be saved, the processing conditions must be registered.

1) After pressing the function selection key , press the menu button to display the

CONDITION SETTING screen.

2) Press the submenu button .

3)Set MATLIAL,THICKNESS,COMMENT in the setting part,press button.

if the same MATL. and THICK as a registered the processing condition file is already set up , the file will be overwrited.

Example)In case of MATL. A,THICK 1.0 COMMENT TEST

Page 130: 004 Basic Bop Lea312 Ast

22. Basic Operation, Post-Processing 22-2 Power OFF

22 – 3

22-2 Power OFF

1) Press the key on the machine operation panel.

2) The READY LED will start to flicker, and after approx. two minutes, the CO2 laser and cooling unit

operation will stop. 3) Turn OFF the READY key switch on the machine operation panel. 4) Press the POWER ON/OFF switch on the operation box to OFF O .

5) Confirm that the screen turns OFF, and that all LEDs on the machine operation panel turn OFF.

When controller OS is WinodwsXP, it will take time of a few minutes by becoming the above-mentioned. The screen changes as follows.

(Screen transition for WinodwsXP) The laser screen shuts.→ The Winodws screen shuts, and the logo of WinodwsXP is displayed.→the screen turns OFF.

6) When using the LC20B (simple dust-proof standalone indoor type), turn the control unit power supply breaker "OFF".

7) Turn the laser processing machine power supply panel breaker "OFF". 8) Close the assist gas valve. 9) Stop the compressors for purging and processing. 10) Turn OFF the cooling tower switch. 11) Turn OFF the power of the power distribution panel (customer facility) for the laser processing

machine.

O

READY ONOFF

Page 131: 004 Basic Bop Lea312 Ast

23. P/C operation 23-1 P/C operation

23-1

23.P/C operation

LVP series processing machine is equipped with 2 pallets(A pallet [Top] and B pallet[Bottom]). “Load”

indicate the transit of a pallet from pallet changer(P/C) to processing machine and “Unload” indicates

the transit to P/C from processing machine. Loaded pallet is also called processing table since it is in

the processable status.

23-1 P/C operation To operate the P/C on the LVP series processing machine, check ON the “Pallet control” in the ON/OFF

parameter. When not using the pallet changer due to some failure, etc, turn OFF this switch so that

processing machine can run without P/C-related alarms by having P/C control independent.

Set item Setting Detail

ON Control the pallet changer(P/C). Pallet control

OFF Not control pallet changer(P/C).

On the LVP series processing machine, processing starts after loading the pallet on where the

workpiece is set by P/C. In this case, loading operation proceeds as follows under certain conditions.

[Limitation]

① Pallet on the processing machine side shall be available for unload before pressing pallet

change key. (LED of key on the machine operation board is ON)

② When replacing the workpiece, pallet on the P/C side should be at the same height of that on

the processing machine side.

③ Laser processing machine is at the zero point.

[Procedures]

(1)Put the workpiece on the pallet on the P/C side.

(2)Have the pallet on the P/C side to loading-available status.

(turn ON the LED of key on the machine operation board.)

(3)Change the pallet automatically or manually.

(4)Pallet change starts.

a)Pallet on the processing machine side is unloaded at first, and stored in the pallet lifter.

b)Pallet lifter elevates to the loadable height for the pallet on the P/C side.

c)Pallet on the P/C side is loaded to the processing machine.

d)Pallet lifter elevates to position the pallet on the P/C at the same height of the pallet on the

P/C side.

Page 132: 004 Basic Bop Lea312 Ast

23. P/C operation 23-2 Next program automatic operation function

23-2

23-2 Next program automatic operation function

“Next program automatic operation function” enables the continuous processing of 2 pallets with

standard size workpiece.

It also changes the pallet automatically by the M30 command, and search and run the program

automatically when the next program is set.

This function has limitations as follows.

1) Use this function when processing 1 pallet with 1 program.

2) Processing start position of next program shall be commanded in the program to be operated.

3) Automatic processing of max. 2 standard size workpiece, on the processing machine and waiting

on the P/C, are available. To process multiple number of standard size workpiece continuously,

following setting is needed after every workpiece replacement work on the pallet waiting in the

pallet lifter.

・Setting of next program. (If it is set at first, then not mandatory)

・Complete of the preparation for next pallet (Turn ON “Set complete” key).

4) Only the operation with memory mode is available for this function, but not HD direct operation.

Page 133: 004 Basic Bop Lea312 Ast

23. P/C operation 23-2 Next program automatic operation function

23-3

23-2-1 Procedures First, register the program to process on the processing control screen. Then prepare for the automatic

pallet change and start the automatic operation.

(1)Registration of processing program

1) Press the function select key, and then menu key.

⇒ Processing control screen appears.

PROCESS MANAGEMENT screen

2)Set the processing schedule on the processing control screen.

Set the program to process right now and following processing program on this screen.

2)-1 Set the program number to process or file name for multiple setup.

Step 1) With positioning the cursor on the processing file window, press the

sub-menu key.

⇒ Processing file list appears on the screen.

Page 134: 004 Basic Bop Lea312 Ast

23. P/C operation 23-2 Next program automatic operation function

23-4

FILE SELECT screen

Step2)<When not using multiple setup>

Select the processing program to set from the processing program list window on

the screen.

<When using multiple setup>

Select the multiple setup file to set from the multiple setup file list window on the

screen.

Step3) Press .

2)-2 Set the processing conditions during the processing.

*)If it already set in the program(when there is M100 command), setting on this screen is

not necessary.

Step 1) With positioning the cursor on the material or thickness list window, press sub-menu key.

⇒ Processing condition select screen appears.

Set the multiple setup file name

Set processing program number in here

Select multiple setup file in here

Select processing program in here

Page 135: 004 Basic Bop Lea312 Ast

23. P/C operation 23-2 Next program automatic operation function

23-5

Processing condition display screen

Step 2) Select the condition to set among the list.

Step 3) Press

2)-3 Set the scheduled number of process.

If the number of the processing program to process and following program differ, set “1” to

each box of “number of scheduled process”. If they are same, set “2” to the box.

3)Press sub-menu key.

⇒ Processing control function becomes valid.

・ Processing control proceeds in the order of “NO”.

・ Control starts form “NO” of the program where the cursor positions, so that position the

cursor on the target line and press .

Condition list

Set the material and thickness here

Page 136: 004 Basic Bop Lea312 Ast

23. P/C operation 23-2 Next program automatic operation function

23-6

(2)Preparation for the automatic pallet change

With setting the pallet condition to (LED ON), press key to turn ON it.

(3)Start-up

After setting above (1) and (2), press key.

Following operations will be executed automatically.

1)Program set on the processing control screen, where the cursor positions, is searched and run.

2)After complete the above 1) , pallet is changed and next program is searched.

3)After completing the pallet change, next program is run.

(Note 1) Automatic pallet change will not start after completing the automatic operation,

even when the next program is set, if the next pallet is not ready.

(Note 2) Only the automatic pallet change is executed but not the search and

execution of the program, when the next program is not set despite the next

pallet preparation is completed.

(Note 3) Have each axis evade with G28XYZ(return to reference point) before M30

command in the processing program.

Page 137: 004 Basic Bop Lea312 Ast

23. P/C operation 23-3 Pallet change M code (M22 code)

23-7

23-3 Pallet change M code (M22 code)

M30 code is used for the normal automatic pallet change. However a M code(M22 code) is also do the

automatic pallet change in the program despite the M30 code.

M22 code executes only the pallet change during the automatic operation.

(1)Edit of NC program

1) Insert the M22(pallet change) block to target timing of the pallet change(ex. Processing end).

2) Insert the G28XYZ(return to reference) block before the M22 code.

It will be PLC alarm F133”T: L/UL INHBT” if the Z axis is not returned.

[NC program]

Ex. Process the work with sub-program

・Change the processing program to

sub-program.

・Insert

G28XYZ

M22

at the end of sub-program(before M99).

・Set to call the sub-program modified in the

main program.

(2)Steps pf the procedures 1)Load the 1st workpiece to the processing

machine.

2)Turn ON the .

3)Turn ON the key.

4)Prepare the next workpiece and

turn ON .

5)Pallet change starts by M22 code.

(3)Precautions 1)Pallet change starts only after completing the set when execute M22 code. Set the workpiece

on the pallet to become “set complete” status. 2)F82 “P: SET WAITING” issues buzzer and error indication. When it becomes set complete

status, error is released and start pallet change. 3)When there is an alarm during the F82 “P: SET WAITING”, automatic pallet change is not

available. Exchange the pallet manually.

O1000

Process

G28X Y Z

M22

M99

O0010

M98 P1000

M30

Sub-program

Pallet change

Process of fir st workpiece

Set

Prepara t ion of 2nd

workpiece

Sta r t key ON

Auto pa llet change key ON

Main program

Process of 2nd workpiece

Page 138: 004 Basic Bop Lea312 Ast
Page 139: 004 Basic Bop Lea312 Ast

Appendix 1 Maintenance and Inspections Appendix 1-1 Part layout

A1 – 1

Appendix 1 Maintenance and Inspections Appendix 1-1 Part layout

The LC20B control unit (simple dust-proof standalone indoor type) is configured of the following parts arranged as shown below. Do not allow foreign matter to enter the panel, and do not place objects on the panel.

RESET key Machine Operation board Handle box

FDU

Front view(controller)

Side internal view(machine)

E ME RGE NCY S T OP

ONOF F

fan SC UNIT Amp

Page 140: 004 Basic Bop Lea312 Ast

Appendix 1 Maintenance and Inspections Appendix 1-2 Maintenance and handling of the floppy disk unit

A1 – 2

Appendix 1-2 Maintenance and handling of the floppy disk unit Appendix 1-2-1 Maintenance of the floppy disk unit

Magnetic powder and dust will adhere onto the surface of the floppy disk drive head over a long time, and may not allow reading to be performed properly. Clean the head about once every two months with the following procedure.

Cleaning method

(a) After turning the control unit power ON, insert a commercially-available cleaning disk into the built-in floppy disk unit.

(b) Press the function selection key , and the menu button to display the DATA

INPUT/OUTPUT screen. (c) Select "FD DRIVE" on the DATA INPUT/OUTPUT screen. The LED on the built-in floppy disk unit will light at this time. Cleaning will be completed in

approx. 5 seconds. (d) After the LED on the built-in floppy disk unit turns OFF, repeat steps (b) and (c) to clean the unit

again. (e) After cleaning with the above method, confirm that the LED on the built-in floppy disk unit has

turned OFF, and then eject the cleaning disk. Appendix 1-2-2 Handling the floppy disk

Observe the following points to use the floppy disk for a long time.

1. Precautions for use ① Never touch the magnetic surface. Reading will not be possible if fingerprints get on the magnetic surface. ② Do not touch the floppy disk with oily or powder coated hands. ③ Do not place the floppy disk near cigarette smoke or solvents. ④ When sticking on an index label, make sure that it is in the correct direction and that it will not

peel off. Do not overlay labels. ⑤ Fill in the index label before sticking it on. Use a soft-tipped pen, such as a felt pen when

writing on a label that has already been stuck on the disk. ⑥ Gradually insert the disk in the correct direction into the floppy disk unit. Rough insertion and

ejection will damage the disk. ⑦ When moving the disk between rooms with great differences in temperature and humidity,

always wait at least 30 minutes before using the disk.

2. Precautions for storage ① When not using the floppy disk or when storing back up disks, always place them in a plastic

case. ② Do not store the disks where they will be subject to direct sunlight or near heating appliances. ③ Do not place the disks near magnets or magnetic fields. Magnetic rings and necklaces may also erase the data on the disk, so avoid handling the

disks near these types of items. ④ Do not place objects on the disks.

Page 141: 004 Basic Bop Lea312 Ast

Appendix 1 Maintenance and Inspections Appendix 1-2 Maintenance and handling of the floppy disk unit

A1 – 3

Appendix 1-2-3 Maintenance of the hard disk unit The processing programs and processing conditions, etc., are saved on a hard disk in the control unit. This hard disk is a consumable part. The processing programs and processing conditions, etc., created by the user should be backed up as a measure against unexpected accidents.

Hard disk life ............. 5 years or 20,000 energized hours, whichever is first

Page 142: 004 Basic Bop Lea312 Ast

Appendix 1 Maintenance and Inspections Appendix 1-3 Replacing the fuse

A1 – 4

Appendix 1-3 Replacing the fuse There are 2 AC100V (MAX current 2A) outlets on bottom side of the control unit LC20BP2, however with the fuse(2A) to protect the circuit. When over the capacity or blow out the fuse, AC100V is not to output. Exchange the fuse if it blow out. Always use a 2A rating fuse.

Replacement fuse type : MF60NR-2A-05 (Toyo Fuse Co., Ltd.)

FUSE 2A

AC100V

Page 143: 004 Basic Bop Lea312 Ast

Appendix 1 Maintenance and Inspections Appendix 1-4 Replacing the battery

A1 – 5

Appendix 1-4 Replacing the battery

(1)This control unit saves the data with a lithium battery. The battery is installed in the battery holder inside the control unit.

Battery backup time...... 45,000 hours

(At room temperature. This time will be shortened at high temperatures.) Battery life..................... 5 years (from date of manufacture)

To ensure correct operation of the system, replace the battery before it is spent. (If the BATTERY VOLTAGE LOW alarm occurs, the battery must be replaced. Note that the contents of the processing programs could be damaged if the BATTERY VOLTAGE LOW alarm occurs.)

Storage Battery ............ ER6 with connector (Toshiba with Mitsubishi specifications)

This battery has special specifications to enhance the reliability. Always contact Mitsubishi for replacements.

(2)X,Y,Z-Axis Amplifier saves the data with a lithium battery. The lithium battery is attached in the battery unit.

Battery backup time...... About 7 years (As weekday 265 day and holiday 100 days) *) A period becomes short, when there are many holidays, or when

environmental temperature is high.

To ensure correct operation of the system, replace the battery before it is spent. Storage Battery ............ MDS-A-BT-6 This battery has special specifications to enhance the reliability. Always contact Mitsubishi for replacements.

(3) General-purpose servo axis Amplifier saves the absolute position data with a lithium battery (Primary battery, Nominal +3.6V).The lithium battery is attached in the battery unit.

Battery .......................... lithium battery (Primary battery, Nominal +3.6V) Battery backup time...... About 10000 hours (no charge) Battery life..................... 5 years (from date of manufacture) To ensure correct operation of the system, replace the battery before it is spent. Storage Battery ............ MR-BAT or A6BAT This battery has special specifications to enhance the reliability. Always contact Mitsubishi for replacements.

Page 144: 004 Basic Bop Lea312 Ast

Appendix 1 Maintenance and Inspections Appendix 1-5 Cleaning

A1 – 6

Appendix 1-5 Cleaning

Always clean the dirtied sections of the control unit to ensure use over a long time. Sections frequently touched by hand, such as the keyboard, can be covered with transparent plastic, etc., and the plastic replaced when dirtied.

The protection sheet of a touch panel can be re-covered. When dirty, please remove a protection sheet from the screen lower right, and stick a new protection sheet.

protection sheet

CNC Screen

Pickup position

Page 145: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-1 Alarm classification

A2 – 1

Appendix 2 Alarm Lists Appendix 2-1 Alarm classification The types of alarms are shown below. When an alarm occurs, confirm the alarm display location and classification code, and proceed based on the remedy details.

Alarm display location Alarm list contents Classification

code Details

Personal computer screen right side

2-2 Personal computer alarms

E○○○○○ Alarm caused by an operational miss, etc., such as when carrying out the setting operations in the personal computer window.

2-3 Operation alarms M1○○○○ Alarm caused by an operator miss during the NC operation and a machine side malfunction.

2-4 Program errors P○○○○○ Occurs during the automatic operation. This alarm is mainly caused by a miss in the processing program creation and when the NC specifications are not met.

S1○○○○ Servo error Servo system error (Reset alarm by turning power OFF/ON)

S2○○○○ Servo parameter initialization error Fault in the parameters transferred to servo side at power ON

S3○○○○ Servo error Servo system error (Reset alarm by resetting NC)

S4○○○○ Servo error Servo system error (Reset alarm by turning ON drive section power again)

S51○○○ Initialization parameter error Confirm the parameters. Reset the alarm by setting the correct value.

2-5 Servo alarms

S52○○○ Servo warning Y2○○○○ System error Y3○○○○ Amplifier not mounted Y5○○○○ Initial parameter error

2-6 MCP alarms

Y51○○○ Parameter error 2-7 Absolute position

detection alarms Z70○○○ Absolute position detection section (Linear

Scale) error 2-8 System alarms Z5○○○○ NC system error

Upper left of the Main Menu or the Alarm Diagnosis screen

2-9 Messages during emergency stop

Message display

NC emergency stop

T00001 Automatic operation not possible cause T00002 Automatic operation pause (feed hold)

cause T00003 Block stop cause

Alarm Diagnosis window <Stop Code> column

2-10 Stop codes

T00010 Completion standby cause Upper left of the Main Menu or the Alarm Diagnosis screen

2-11 PLC alarms F○○○○○ Machine error

Note) The PLC alarms refer to the sequence alarms.

Page 146: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-2 Personal computer alarms

A2 – 2

Appendix 2-2 Personal computer alarms

Error No. Error message Error details/remedy

E00001 SETTING ERROR Occurs when data besides a numerical value is input in the place for numerical values only in the Condition Search window, etc. Input numerical values.

E00002 DATA OVER Occurs when data outside the possible setting range was input. Input data within the possible setting range.

E00010 MEMORY OVER Occurs when the file region on the NC side is full. Delete any unnecessary files on the NC side and execute the operation again.

E00012 FILE ENTRY OVER Occurs when the No. of files on the NC side is full. Delete any unnecessary files and execute the operation again.

E00021 PROGRAM RUNNING Occurs when attempting to delete or overwrite a processing program during automatic operation. Execute the operation again after automatic operation has stopped.

E00028 COND NOT FOUND Occurs when the comparison target processing conditions are not found in the control unit side during processing condition comparison on the DATA INPUT/OUTPUT screen. Review the processing condition file.

E00035 COMPARE ERROR Occurs when the input/output side and control unit side differ during processing condition comparison on the DATA INPUT/OUTPUT screen. Review the comparison data.

E00063 MODE/PARAM ERR Occurs when less than six sensor data items were measured during height sensor calibration. Review the contents of the height sensor program.

E00066 NO PROGRAM NO. Occurs when the comparison target processing program is not found in the control unit side during processing program comparison on the DATA INPUT/OUTPUT side. Review the processing program name.

E00078 PROG. CHECK MODE Occurs when attempting to delete or overwrite a program that is being checked. Execute the operation again after the program check is completed.

E00086 INPUT DATA ERR

• Occurs when the workpiece and nozzle gap adjustment distance and the focus and nozzle height equation is not satisfied during focusing. Reset the workpiece and nozzle gap adjustment length and the focus and nozzle height for focusing.

• Occurs when the results of the fourth-order approximation results and the actual measurement data error exceed the tolerable value during height sensor calibration. Calibrate the sensor again. If the alarm recurs, the sensor may be faulty.

E00102 FILE EXIST Occurs if a file with the same name is found when saving the file.

E00103 CHARACTER OVER Occurs when a setting exceeding 240 bytes is made while correcting the buffer. Correct to within 240 bytes.

E00104 FILE SAVE ERR (SIZE 0) Occurs when a file with a size of 0 was saved.

E00105 FILE OUTPUT ERROR Occurs when the file to be overwritten is write-protected. Cancel the write protection, and then execute the operation again.

E00300 INSERT ERROR

Occurs when a line was to be inserted on the PROCESSING ADMINISTRATION screen, but data was set in line No. 10, and the insertion was not possible. Clear a line of data following the number where the line is to be inserted.

E00301 MANGE. INVALID Occurs when automatic operation is entered on the PROCESSING ADMINISTRATION screen, and the processing management cannot be validated. Validate the setting after automatic operation stops.

E00302 PROG. NOT FOUND Occurs when the processing program set in "No" to be executed while the processing management is valid is not found. Review the processing file setting.

E00303 COND NOT FOUND Occurs when the processing conditions set in "No" to be executed while the processing management is valid are not found. Review the material and thickness settings.

E00304 MULTI FILE NOT FOUND

Occurs when the multiple setup file set in "No" to be executed while processing management is valid is not found. Review the processing file setting.

Page 147: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-3 Operation alarms

A2 – 3

Appendix 2-3 Operation alarms M1○○○○ OPERATION ERROR

Alarms resulting from incorrect operation by the operator during NC operation and alarms resulting from the machine fault are displayed.

Error No. Details Remedy 0001 DOG OVERRUN

When the spindle returned to the reference point, the near-point detecting limit switch was not stopped by the dog but it overran the dog instead.

• Increase the length of the near-point dog. • Delay the reference point return speed.

0002 Z AXIS NOT CROSSED One of the axes did not cross the Z-axis of the detector on the initial return of the machine to the reference point after the power was switched on. (The position before zero point return is too close to the zero point.)

• Move the axis one rotation or more in the direction opposite the reference point, and then execute reference point return again. (Separate the processing head slightly from the zero point, and then execute zero point return again.)

0003 INVALID RETURN When returning manually to the reference point, the direction of the return differs from the axis movement direction which has been designated by the [AXIS SELECTION] key.

• An [AXIS SELECTION] key corresponding to the wrong direction has been selected. The error is released by feeding the axis in the correct direction.

0004 EXTERNAL INTERLOCK The external interlock function has been activated (the input signal is "OFF"), and one of the axes is interlocked as a result.

• Since the interlock function has been activated, it must first be released before operation is resumed.

• Check the sequence on the machine side. • Check for disconnection in the interlock

signal line. 0005 INTERNAL INTERLOCK

An internal interlock status is established. The axis removal was carried out with the absolute position detection axis. The command was issued by the automatic mode with the manual/automatic synchronization valid axis.

• Since the servo "off" function has been activated, it must first be released .

• An axis for which axis removal is valid has been commanded. Carry out the correct operation.

• The manual skip is designated in the same direction as when this turned ON. Carry out the correct operation.

• The axis commanded in the automatic mode is a manual operation axis in the manual/automatic synchronized mode. Turn the manual/automatic valid signal for the commanded axis OFF.

• An illegal handle interruption was applied. • Turn the power ON again and carry out

absolute position initialization again. 0006 H/W STOROKE END

The stroke end function is activated (the input signal is "OFF") and one of the axes is in the stroke-end status.

• Since the stroke end limit switch has been activated (the stroke end status has been established), move the machine in reverse by operating it manually.

• Check for a breakage or disconnection in the stroke end signal line.

• Check for a failure or malfunction in the limit switch.

Page 148: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-3 Operation alarms

A2 – 4

Error No. Details Remedy

0007 S/W STROKE END The stored stroke limit I or II function has been activated.

• Since the machine is in the stroke end status, move it in reverse by operating it manually.

• If the parameter stored stroke limit is incorrect, set the correct value.

0009 REF. POINT RET. ERR When return to the 1st reference point had not been completed, return to the 2nd reference point was executed.

• Execute return to the 1st reference point.

0081 EXCESSIVE SYNC. ERR The synchronization error of the master axis and slave axis exceeded the tolerable value during synchronous control.

• There is an obstacle in the movement range.

• The machine operation is faulty. • The servo system is faulty (amplifier, etc.) • The parameters are illegal. • Refer to the processing machine

instruction manual or the control unit supplement manual for each processing machine for details on remedying the alarm.

0257 NOT OPERATIONAL MODE

• Check for breakage or disconnection in the input mode signal line.

• Check for a failure or malfunction in the mode selection switch.

• Check the sequence program. 0258 OVERRIDE ZERO

The [OVERRIDE] setting on the PROCESSING screen is set to 0.

• The error is released by setting [OVERRIDE] to any value except 0.

• Check the sequence program. 0259 EXTERNAL FEED ZERO

The [OUTPUT FEED] setting on the PROCESSING screen has been set to 0 when the machine is in the jog mode and automatic dry run mode.

• The error is released by setting [OUTPUT FEED] on the PROCESSING screen to any value except 0.

• Check the sequence program.

0262 HANDLE FEED NUM. ERR An axis which does not exist in the specifications has been designated for handle feed or the handle feed axis has not been selected.

• Check for a breakage or disconnection in the handle feed axis selection signal line.

• Check the sequence program. • Check the number of axes listed in the

specifications. 0265 BLK START INTERLOCK

An interlock signal which locks the block start has been input.

• Check the sequence program.

0272 PRCES BLK INTERLOCK An interlock signal which locks the processing block start has been input.

• Check the sequence program.

0275 CORRECTING BUFFER The automatic start button was pressed while correcting the buffer.

• The buffer correction is canceled by canceling the submenu or changing the screen.

• The buffer correction will end when the submenu OK is pressed.

Page 149: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-3 Operation alarms

A2 – 5

Error No. Details Remedy

0277 REPAIRING RESET The automatic start button was pressed during reset processing.

• During reset execution, wait until resetting is completed, then press the automatic start button.

0288 SYNC. CORRECT MODE The synchronous correction mode was selected in a mode other than the handle mode.

• To use the synchronous correction mode, select the handle mode.

0352 OUT_F 0 ERR The AXIS parameter out_f in the maker parameters is set to 0 so the axis cannot be moved with manual operation.

• Set the feed axis speed in the AXIS parameter out_f in the maker parameters.

0512 COND NOT FOUND The processing condition file designated for the automatic search (processing condition file search) is not registered in the memory.

• Designate a processing condition file registered in the processing condition memory.

0513 COND NOT FOUND The processing condition data corresponding to the M code designated in the processing condition automatic selection command is not set in the processing condition setting buffer.

• Designate an M code corresponding to processing condition data set in the processing condition setting buffer.

0514 MACHINE SPEED ZERO The processing speed is not set (is zero) in the processing condition current buffer.

• Set the processing speed in the processing condition current buffer.

0515 NOT RESTART COND. Conditions are not set as the interval conditions in the processing condition file used for restart control after feedhold.

• Set the interval conditions in the processing condition file.

0545 Z - DIRECT. MOVE ERR When the workpiece and processing head distance is within the height sensor's detection range, a manual command was issued to move the processing head closer to the workpiece (Z axis - direction).

• Move the processing head in the direction away from the workpiece (Z axis + direction). When approaching the processing head toward the workpiece in the height sensor's detection range, use handle feed. If the height sensor unit is not used, or before calibration, invalidate the SENSOR PARAMETER #8 "-Z AXIS MANUAL PROHIBIT VALID".

Page 150: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-4 Program errors

A2 – 6

Appendix 2-4 Program errors These alarms are generated during automatic operation. In the main, they denote errors in the creation of the processing programs and also program errors applying when programs matching the NC specifications have not been prepared.

Error No. Details Remedy

P00010

EXCS AXIS No. The number of axis addresses commanded in the same block is greater than the number provided for by the specifications.

• Divide the alarm block commands into two.

• Check the specifications.

P00011

AXIS ADR. ERROR The axis address names in the program commands and the axis address names set in the parameters do not match.

• Correct the axis names in the program.

P00020 DIVISN ERROR

An axis command that cannot be divided by the command unit was issued.

• Review the program.

P00030

PARITY H The No. of holes for one character on the paper tape is even for the EIA code, and odd for the ISO code.

• Check the paper tape. • Check the tape puncher and tape reader.

P00031 PARITY V

The No. of character in one block on the paper tape is odd.

• Change the No. of characters in one block on the paper tape so that it is even.

• Turn OFF the parameter PARITY V SELECTION.

P00032 ADDRESS. ERROR

An address not contained in the specifications has been used.

• Check and correct the addresses in the program.

• Check the specifications.

P00033

FORMAT ERROR The command format in the program is not correct.

• Review the program. • Confirm that there is no arithmetic

commands(OR, AND, etc) or control commands(EQ, LE, etc) included in the material name in the processing condition file. If any, correct them. (Ex.) Material name: LENZ

→Program error since the control command "LE" is included.

Refer to the 10.5.5 arithmetic commands and 10.5.6 control commands in the "Programming manual BOP-LE8481" for ineligible command.

P00034 G-CODE ERROR

A G code not contained in the specifications has been commanded.

• Check and correct the G code addresses in the program.

P00035 CMD-VALUE OVER

The setting range of the addresses has been exceeded.

• Review the program.

P00036 PROGRAM END ERR

"EOR" has been read during tape or memory operation.

• Enter M02 or M30 at the end of the program.

• Enter M99 at the end of the subprogram.

P00037

PREG NO. ZERO A zero has been designated for the program number or for the sequence number.

• The program numbers which can be designated range from 1 to 99999999.

• The sequence numbers which can be designated range from 1 to 99999.

Page 151: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-4 Program errors

A2 – 7

Error No. Details Remedy

P00039 NO SPEC

A G code not contained in the specifications has been commanded.

• Check the specifications.

P00040

PREREAD BL. ERR There is an error in the block pre-read for tool radius compensation, and thus, the interference check is not possible.

• Review the program.

P00060 OVER CMP. LENG

The commanded movement distance is too long. (Exceeds 231.)

• Review the axis address command range.

P00061

NO S-DIR SPEC. G60 was commanded though unidirectional positioning is not included in the specifications.

• Check the specifications. • Change the program command G60 to

G00.

P00062

F-CMD NOTHING The feedrate command has not been input.

• The movement modal command when the power is turned ON is G01, so if there is a movement command even when G01 is not designated in the program, the axis will move with G01 causing an alarm. Command the feedrate with the F command.

• Command F for the thread lead command.

P00063

NO G05 SPEC The high-speed mode was commanded though it is not included in the specifications.

• Check the specifications.

P00070

ARC ERROR The arc start point, end point and arc center are incorrect.

• Check the start point, end point and arc center designation address values in the program.

• Check the plus and minus directions of the address value.

P00071

ARC CENTER The arc center cannot be obtained during the R-designated arc interpolation.

• Check the value of each address in the program.

P00072

NO HERICAL SPEC A helical command was issued though it is not included in the specifications.

• Check the helical specifications. • Three axes are commanded with the arc

interpolation command. If the helical specifications are not provided, the linear command axis will move to the next block.

P00073 NO SPIRAL

A spiral command was issued though it is not included in the specifications.

• Command G02.1 and G03.1 for the arc interpolation command.

• Check the spiral specifications.

P00080

NO G07 SPEC The hypothetical axis command (G07) was issued though is not included in the specifications.

• Check the hypothetical axis specifications.

• Change the G07 command.

P00090

NO THREAD SPEC The thread cutting command was issued though is not included in the specifications.

• Check the specifications.

P00100 NO CYLIND SPC

Cylindrical interpolation was commanded though it is not included in the

• Check the specifications.

Page 152: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-4 Program errors

A2 – 8

specifications. Error No. Details Remedy

P00110

PLANE CHG (PR) A plane selection command (G17, G18, G19) was issued during the pattern rotation command.

• Delete the plane selection command (G17, G18, G19) from the pattern rotation subprogram.

P00111

PLANE CHG (CR) A plane selection command (G17, G18, G19) was issued during the coordinate rotation command (G68).

• After the G68 command, always execute the G69 (coordinate rotation cancel) command, and then issue the plane selection command.

P00112

PLANE CHG (CC) A plane selection command (G17, G18, G19) was issued during the tool radius compensation (G41, G42).

• Issue the plane selection command after the tool radius compensation command is completed (issue the axis movement command after the G40 cancel command).

P00113 Plane selection illegal

The arc command axis and selected plane differ.

• Issue the arc command with the correct plane selection.

P00120

NO SYNC FEED The synchronous feed command was issued though is not included in the specifications.

• Check the specifications.

P00121

F0 ARC MODAL F0 (F1-digit feed rapid traverse command) was issued in the arc interpolation command (g02, G03) during the F1-digit feed command.

• The rapid traverse command cannot be issued for the arc interpolation command, so designate a F1-digit command other than F0.

• If the block in which the alarm occurred is not an arc command block, designate G0 or G1.

P00122

NO AUTO C-OVR The automatic corner override was commanded though automatic corner override (G62) is not included in the specifications.

• Check the specifications. • Delete the G62 command from the

program.

P00123

NO G61.1 SPEC The HP control mode was commanded though the HP control mode (G61.1) is not included in the specifications.

• Check the specifications. • Delete the G61.1 command from the

program.

P00127

NO G61.2 SPEC Spline interpolation was commanded though the spline command (G61.2) is not included in the specifications.

• Check the specifications. • Delete the G61.2 command from the

program.

P00130

2ND AUX. ADDR The 2nd auxiliary function address commanded in the program differs from the address set in the parameters.

• Check and correct the 2nd auxiliary function address in the program.

P00131

NO G96 SPEC The constant surface speed command (G96) was commanded though it is not included in the specifications.

• Check the specifications. • Change from the constant surface speed

command (G96) to the speed command (G97).

P00140

NO T-POS OFST. The position compensation command (G45 to G48) is not included in the specifications.

• Check the specifications.

P00141 PAR-ROT ERROR Position compensation was

• Review the program.

Page 153: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-4 Program errors

A2 – 9

commanded during the pattern rotation or coordinate rotation command.

Error No. Details Remedy

P00142

T-OFFS G2 ERR A circle or arc command for which position compensation is not possible was commanded.

• Review the program.

P00150

NO C-CMP SPEC The tool radius compensation (G41, G42) command was issued though is not included in the specifications.

• Check the specifications.

P00151

G2, 3 CMP ERR The compensation command (G40, G41, G42) was issued during the arc mode (G02, G03).

• Command a linear command (G01) or rapid traverse command (G00) in the compensation command block or cancel block. (Change the modal to linear interpolation.)

P00152

I.S.P. NOTHING When executing tool radius compensation (G41, G42), even when one block was skipped during the interference block process, the intersection was not established.

• Review the program.

P00153 I.F. ERROR

An interference error occurred during tool radius compensation (G41, G42).

• Review the program.

P00154

NO 3D-CMP SPEC The three-dimensional compensation command was issued though it is not included in the specifications.

• Review the program. • Check the specifications.

P00170

NO CORR. NO. There is no compensation No. (DOO, TOO, HOO) command for the compensation (G41, G42, G43) command. The compensation No. is larger than the number of sets in the specifications.

• Add a compensation No. command to the compensation command block.

• Check the number of compensation No. sets, and change the compensation No. so that it is within the number of compensation sets.

P00171 NO G10 SPEC

The G10 command was issued though it is not included in the specifications.

• Check the specifications.

P00172 G10 L-No. ERR

The address command for the G10 command is not correct.

• Check the G10 command's address L No., and command the correct No.

P00173

G10 P-No. ERR A compensation No. not within the number of specification sets was commanded for the compensation No. during the G10 command.

• Confirm the number of compensation sets, and correct the address P designation so that it is within the number of sets.

P00174

NO G11 SPEC G11 was commanded though the program tool compensation input G11 is not included in the specifications.

• Check the specifications.

Page 154: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-4 Program errors

A2 – 10

Error No. Details Remedy

P00230

NESTING OVER The number of times subprograms have been called in sequence from subprograms has exceeded 8.

• Check the number of subprogram calls and correct the program so that it does not exceed 4.

P00231

NO N-NUMBER The sequence number commanded by GOTO has not been set with a subprogram call or with return to the main program from a subprogram.

• Enter the sequence number in the appropriate block.

P00232 NO PROGRAM NO.

The subprogram has not been entered when it is called.

• Enter the subprogram.

P00240

NO BARBL. SPEC A variable command was issued through the variable command (#OO) is not included in the specifications.

• Check the specifications.

P00241

NO VARI NUMBER The commanded variable command is higher than the variable numbers in the specifications.

• Check the specifications. • Check the program variable numbers.

P00242 EQL. SYM. MSSG.

" = " has not been commanded when a variable is defined.

• Set " = " into the program variable definition.

P00243

VARIABLE ERR. There is a variable that cannot be used on the left or right side of the operation expression.

• Correct the program.

P00250

NO PAT-ROT SPEC A pattern rotation command was issued though it is not included in the specifications.

• Check the specifications.

P00260

NO COOD-ROT SPEC A coordination rotation command was issued though it is not included in the specifications.

• Check the specifications.

P00270

NO MACRO SPEC A macro specification command has been issued though such specifications do not exist.

• Check the specifications.

P00271 NO MACRO INTERRUPT A macro interrupt command has been issued though it is not included in the specifications.

• Check the specifications.

P00272 NC/MACRO ILL.

An execution statement and a macro statement exist in the same block.

• Review the program, and program so that the execution statement and macro statement are in separate blocks.

P00273 MACRO OVERCALL

The maximum number of macro call nesting levels have been exceeded.

• Review the program and correct so that the macro calls do not exceed the number of levels provided for by the specifications.

P00275 MACRO ARG. EX.

There are too many argument sets in macro call argument type II.

• Review the program.

Page 155: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-4 Program errors

A2 – 11

Error No. Details Remedy

P00276 CALL CANCEL

The G67 command was issued when not in the G66 command modal.

• Review the program. • Since the G67 command is a call cancel

command, issue the G66 command before G67.

P00277 MACRO ALM MESG

An alarm command was issued by #3000.

• Refer to the message on the DIAGNOSIS screen.

• Refer to the instruction manual issued by the machine manufacturer.

P00280 EXC. [ , ]

More than 5 bracket [, ] parentheses have been used in a block.

• Review the program and correct so that the number of " [ " or " ] " parentheses does not exceed 5 bracket.

P00281 [ , ] ILLEGAL

The number of the [, ] parentheses commanded in a block does not match.

• Review the program and correct so that the numbers of " [ " , " ] " parentheses are paired off properly.

P00282 CALC. IMPOSS. An operation formula is not correct.

• Review the program and correct the expression.

P00283 DIVIDE BY ZERO

The division denominator is zero. • Review the program and correct so that

the denominator for division in the operation formula is not zero.

P00284 INTEGER OVER

In the process of operation the integer value has exceeded –231 (231–1).

• Review the operation formula in the program and correct so that the value of the integers after the operation does not exceed –231.

P00285 OVERFLOW VALUE There is a variable data overflow.

• Review the variable data in the program.

P00290 IF SNT. ERROR

There is an error in the if [<conditional expression>] GOTO□ statement.

• Review the program.

P00291

WHILE SENT. ERROR There is an error in the WHILE [< conditional expression>] DO□ ~ END□ statement.

• Review the program.

P00292 SETVN SENT. ERROR

The variable name setting or SETVN□ statement is incorrect.

• Review the program. • Make sure that the variable name of the

SETVN statement has 7 or fewer characters.

P00293

DO – END EXCESS The number of DO and END (multiple use) of the WHILE [<conditional expression>] DO□ ~ END□ statement has been exceeded 27.

• Review the program and correct so that the number of DO ~ END statements does not exceed 27.

P00294 DO-END MMC. DO and END are not paired.

• Review the program, and correct so that DO and END are paired.

P00295

WHILE/GOTO TPE A WHILE statement or GOTO statement was found in the tape during tape operation.

• As a program containing a WHILE statement or GOTO statement cannot be executed during tape operation, use memory operation.

P00296 NO ADR (MACRO)

There is no setting in the user macro. This setting is essential.

• Review the program.

Page 156: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-4 Program errors

A2 – 12

Error No. Details Remedy

P00297 ADR-A ERROR

In the user macro, address A cannot be set as a variable.

• Review the program.

P00298

PTR OP (MACRO) The user macro G200 to G202 was commanded during tape operation or MDI operation.

• Review the program.

P00300 VAR. NAME ERROR

A variable name has not been commanded properly.

• Review the variable names in the program and correct them.

P00301 VAR. NAME DUPLI A variable name has been duplicated.

• Correct the program so that the variable names are not duplicated.

P00350

NO SCALING SPEC The scaling command (G50, G51) was issued though it is not included in the specifications.

• Check the specifications.

P00360

NO PROG. MIRR The mirror image (G50.1, G51.1) command was issued though it is not included in the specifications.

• Check the specifications.

P00380

NO CORNER R/C Command chamfer/corner RI, II was issued though it is not included in the specifications.

• Check the specifications. • Delete the corner R or corner C from the

program.

P00381

NO ARC R/C SPEC A corner R or corner C command was issued in the arc interpolation command though corner chamfer/corner RII is not included in the specifications.

• Check the specifications.

P00382 NO NEXT CORNER TRAVS

The block following corner R/C is not a movement command.

• Issue the G01 command in the block following the corner R/C command.

P00383

CORNER SHORT During the corner R/C command, the movement distance is shorter than the corner R/C command.

• The movement distance is shorter than the corner R/C, so make the corner R/C shorter than the movement distance.

P00384

CORNER SHORT During the corner R/C command, the next block's movement distance is shorter than the corner R/C.

• The next block's movement distance is shorter than the corner R/C, so make the corner R/C shorter than the movement distance.

P00385 G00 F33 IN CONR

The corner R/C block is in a G00 or G33 modal.

• Review the program.

P00390

NO GEOMETRIC The geometric command was issued though it is not included in the specifications.

• Check the specifications.

P00391 NO GEOMETRIC 2

The geometric IB specifications are not available.

• Check the specifications.

Page 157: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-4 Program errors

A2 – 13

Error No. Details Remedy

P00392 LES AGL. (GEOMT)

The angle difference of the geometric lines is less than 1 degree.

• Correct the geometric angle.

P00393 INC ERR (GEOMT)

The 2nd geometric block was commanded with an incremental value.

• Command the 2nd geometric block with an absolute value.

P00394 NO G01 (GEOMT)

There is no linear command in the 2nd geometric block.

• Issue the G01 command.

P00395 NO ADRS (GEOMT) The geometric format is incorrect.

• Review the program.

P00396 PL CHG. (GEOMT)

The plane changeover was commanded during the geometric command.

• Change the plane before the geometric command.

P00410

NO ADDRS. CHG The absolute/incremental axis address conversion specifications are not available.

• Check the specifications.

P00420

NO PARAM. IN SPEC A parameter input command was issued though it is not included in the specifications.

• Check the specifications.

P00421

PARAM. IN ERROR • The commanded parameter No. or

setting data is illegal. • An illegal G command address was

commanded in the parameter input mode.

• A parameter input was commanded during the fixed cycle mode or nose R compensation.

• Review the program.

P00430

AXIS NOT RET. • A movement command except

reference point return has been assigned for an axis which has not returned to the reference point.

• A command was issued to the axis removal axis.

• Execute the reference point return manually.

• A command has been issued to the axis removal valid axis, so invalidate the axis removal.

P00431

NO 2ND REF. A 2nd, 3rd or 4th reference point return command has been assigned though such specifications do not exist.

• Check the specifications.

P00432

NO G29 SPEC The start point return command (G29) was issued though it is not included in the specifications.

• Check the specifications.

P00433

NO G27 SPEC The zero point comparison command (G27) was issued though it is not included in the specifications.

• Check the specifications.

Page 158: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-4 Program errors

A2 – 14

Error No. Details Remedy

P00434

G29/M ERROR When the zero point comparison command (G27) was executed, there was an axis that did not return to the zero point position.

• Review the program.

P00451

NO PROG LIMIT The pre-movement stroke check function (G22) was commanded though it is not included in the specifications.

• Check the specifications.

P00452

MOVEMENT LIMIT If the axis movement end point is within the prohibit area commanded with the pre-movement stroke check function (G22), an alarm will occur before that movement block is executed.

• Review the program's axis address coordinate value.

P00460

TAPE I/O ERROR An error occurred in the tape error, or an error occurred in the printer during macro printing.

• Check the power supply and cable of the connected device.

• Check the input/output device parameters.

P00461 FILE I/O ERROR

The processing program file cannot be read.

• During memory operation, the program saved in the memory may be destroyed. Output all programs and tool data, etc., once, and then format.

P00462 DNC ERROR

A communication error occurred during BTR operation.

• Reset the system.

P00500

COND. NOT FOUND The processing conditions data corresponding to the M code designated during the processing condition automatic selection command is not set in the processing condition setting buffer.

• Set an M code corresponding to the processing condition data set in the processing condition setting buffer.

P00600

NO AUTO TLM The automatic tool length measurement command (G37) was issued though it is not included in the specifications.

• Check the specifications.

P00601

NO SKIP SPEC The skip command (G31) was issued though it is not included in the specifications.

• Check the specifications.

P00602

NO MULTI SKIP The multi-step skip command (G31.1, G31.2, G31.3) was issued though it is not included in the specifications.

• Check the specifications.

P00603 SKIP SPEED 0 The skip speed is zero.

• Command the skip speed.

P00604

G37 ILL AXIS An axis is not designated in the block for automatic tool length measurement, or two or more axes are designated.

• Command only one axis.

Page 159: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-4 Program errors

A2 – 15

Error No. Details Remedy

P00605

H-CMD IN BLOCK The T code is in the same block as the automatic tool length measurement command.

• Command the T code before the automatic tool length measurement command.

P00606

NO H-CMD BEFOR The T code is not commanded for the automatic tool length measurement command.

• Command the T code before the automatic tool length measurement command.

P00607

G37 ILL SIGNL The measurement position reached signal turned ON before the region commanded with the D command or with the parameter Deceleration Region d. Or, the signal did not turn ON to the end.

• Review the program.

P00608 SKIP ERROR (CC)

A skip command was issued during the radius compensation command.

• Issue the radius compensation command (G40), or delete the skip command.

P00990

PRE-PROCESSING ERROR The number of pre-read blocks exceeded 14 blocks due to the combination of commands that need to be pre-read (nose R compensation, corner chamfer/corner R, geometric I, geometric IB, compound fixed cycle).

• Reduce or eliminate the combination of commands that need to be pre-read.

Page 160: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-5 Servo alarms

A2 – 16

Appendix 2-5 Servo alarms These alarms pertain to trouble and malfunctioning in the servo drive amplifiers, motors, encoders and other servo systems. The Alarm Message window displays an alarm message, the axis address, and the error number.

S01 SERVO ERROR: PR □□□□ □□□

(Alarm number) (Axis name) To reset the alarm, remove the alarm cause, then turn the NC power off and turn it back on again.

Alarm No. Abbreviation Alarm name Details

11 AE Axis selection error The rotary switch in the 2-axis integrated amplifier is set to the same axis No. for both axes.

12 ME1 Memory error 1 ROM check sum or ROM check error. 13 SWE Software process error Software data processing did not finish within the

correct time. 16 RD1 Magnetic pole position

detection error 1 None of the U, V, and W, for magnetic pole position detection were in the "H" or "L".

17 ADE AD convertor error The AD convertor for current detection did not operate normally when initialized.

18 WAT Initial communication error The absolute position according to the serial data was not normally transmitted when turned ON.

20 NS1 No signal detection 1 The motor end detector A phase and B phase signals both became "H" or "L".

21 NS2 No signal detection 2 The machine end detector A phase and B phase signals both became "H" or "L".

25 ABDE Absolute position lost Absolute position data was lost. 26 NA Unused axis error An IPM error occurred in the non-controlled axis

with the rotary switch set to F. (Alarm for 2-axis amplifier only)

28 SOSP Absolute position overspeed The absolute position linear scale moved at speeds equal to or more than 45mm/sec during initialization when the NC power is ON. (Alarm output from the linear scale)

29 SABS Absolute position detecting circuit error

An error occurred in the scale or scale side circuit of the absolute position linear scale. (Alarm output from the linear scale)

2A SINC Relative position detecting circuit error

The speed exceeded 60mm/min. (Alarm output by the linear scale)

2B SCPU Scale CPU error The CPU in the scale does not operate normally. (Alarm output by the linear scale).

2C SLED LED in detector error. An error occurred in the detector LED. 2F STRE Serial detector

communication error. An error occurred in the serial communication with the detector.

30 OR Overregeneration Overheating of the regenerative resistor was detected.

31 OS Overspeed A motor speed exceeding the tolerable speed was detected.

Page 161: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-5 Servo alarms

A2 – 17

Alar

m No. Abbreviation Alarm name Details

32 PME Power module error An error occurred in the IPM used in the invertor. The following causes are possible. 1) Overcurrent 2) Overheating 3) Control power voltage drop, etc.

33 OV Overvoltage The bar voltage in the driver exceeded 400V. 34 DP CRC error Communication data from the control unit cause

an CRC error 35 DE Data error The size of movement command data from the

control unit is too large. 36 TE Transmission error The movement command data from the controller

is abnormally large. 37 PE Parameter error When the driver was initialized, there was an

illegality in the servo parameter data transmitted from the controller.

38 TP1 Protocol error 1 (frame) A protocol error occurred during communication with the control unit. (Frame error)

39 TP2 Protocol error 2 (information)

A protocol error occurred during communication with the control unit. (Information error)

3A OC Overcurrent The current for the motor drive is excessive. 3B PMOH Power module overheat Overheat of the IPM used as a servo drive main

circuit was detected. 42 FE1 Feedback error 1 A detector feedback pulse was omitted in the

semiclosed loop. 43 FE2 Feedback error 2 The amounts of feedback are different between

the motor end detector and machine end detector in the closed loop.

S02 INIT PARAM ERROR □□ □□

(Parameter (Axis name) number)

When the power was turned on, an invalid parameter was transferred from the control unit to the spindle amplifier.

Alarm No. Abbreviation Alarm name Details

2201 ~226

3

The servo parameter setting data is illegal.

Confirm the corresponding servo parameter explanation, and correct the parameter.

Page 162: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-5 Servo alarms

A2 – 18

S03 SERVO ERROR: NR □□□□ □□□

(Alarm number) (Axis name) This alarm information is displayed if a servo alarm occurs. To reset the alarm, remove the alarm cause, then input key.

Alarm No. Abbreviation Alarm name Details

46 OHM Motor overheat The thermal protector built in the motor operated. 50 OL1 Overload 1 The time that the motor current exceeded the

parameter OLL (overload detection level) during the stall rating conversion exceeded the parameter OLT (load time constant) error.

51 OL2 Overload 2 The command for the current exceeding 95% of the current limited value continued for more than 0.5 sec..

52 OD1 Excessive error 1 When the servo on, the actual machine position with respect to the command exceeded the parameter setting value OD1 (excessive error width at servo ON).

53 OD2 Excessive error 2 When the servo off, the actual machine position with respect to the command exceeded the parameter setting value OD2 (excessive error width at servo OFF).

S04 AMP CONNECT

ERROR □□□□ □□□ (Alarm number) (Axis name)

To reset the alarm, remove the alarm cause, and then restart the drive section power.

Alarm No. Abbreviation Alarm name Details

61 PDC Power supply regeneration overcurrent.

A "Regeneration overcurrent" alarm occurred on the power supply unit side. ("1" in the power supply unit)

63 PORI Power supply auxiliary regeneration overcurrent

An "Auxiliary regeneration error" alarm occurred on the power supply unit side. ("3" in the power supply unit)

65 PRAE Power supply rush relay error

A "Rush relay error" alarm occurred on the power supply unit side. ("5" in the power supply unit)

67 PPHL Power supply phase detection

A "Phase detection" alarm occurred on the power supply unit side. ("7" in the power supply unit)

68 PWD Power supply watchdog A "Watchdog" alarm occurred on the power supply unit side. ("8" in the power supply unit)

69 PPNG Power supply ground detection

A "Motor ground" was detected on the power supply unit side. (Displayed as "9" in the power supply unit) Refer to the power supply unit alarm explanation.

6A PMCM Power supply external contactor deposition detection

An "External contactor deposition" was detected on the power supply unit side. (Displayed as "A" in the power supply unit)

6B PRAM Power supply rush relay deposition detection

A "Rush relay deposition" was detected on the power supply unit side. (Displayed as "b" in the power supply unit)

Page 163: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-5 Servo alarms

A2 – 19

Alar

m No. Abbreviation Alarm name Details

6C Power supply main circuit error

A "Main circuit error" was detected on the power supply unit side. (Displayed as "C" in the power supply unit)

6E PME Power supply memory error A "Memory error" was detected on the power supply unit side. (Displayed as "E" in the power supply unit)

6F PADE Power supply AD error An "AD convertor error" was detected on the power supply unit side. (Displayed as "F" in the power supply unit)

71 PINL Power supply instantaneous power failure detection

An "Instantaneous power failure" was detected on the power supply unit side. (Displayed as "H" in the power supply unit)

75 POV Power supply overvoltage An "Overvoltage" was detected on the power supply unit side. (Displayed as "L" in the power supply unit)

88 WD Watch dog The servo amplifier software processing did not finish in the prescribed time.

S51 PARAMETER ERROR □□ □□

(Parameter (Axis name) number)

Confirm the parameters. When the correct values are set, the alarm will be released. Illegal parameters are ignored, and the values before the illegal parameter settings are held. (The servo will not turn OFF)

Alarm No. Abbreviation Alarm name Details

2201 ~224

8

The servo parameter setting data is illegal.

Refer to S52 servo warning, warning No. [E4].

S52 SERVO ALARM □□ □□

(Warning (Axis name) number)

A servo alarm is displayed.

Alarm No. Abbreviation Alarm name Details

91 WAS Communication error The absolute position by serial data was not transmitted correctly during ordinary operation.

92 WAF Serial format error Serial data from the absolute position detector used an invalid format.

93 WAM Absolute position fluctuation When the power was turned on, the absolute position counter could not be set because absolute position data had been varied.

9F WAB Low battery voltage The battery voltage supplied to the absolute position detector was too low.

E0 WOR Over-regeneration warning A level of 80% of the over-regeneration alarm was detected. (Continued operation may result in an over-regeneration alarm)

E1 WOL Overload warning 80% of the overload 1 alarm level has been reached. This is not an alarm so the servo will not turn OFF. However, if operation is continued, an overload 1 alarm could occur.

Page 164: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-5 Servo alarms

A2 – 20

Alar

m No. Abbreviation Alarm name Details

E3 WAC Absolute position counter warning

An invalid value was set to the absolute position counter. An alarm will not occur in this case, but the control unit power must be turned ON again and zero point return carried out again.

E4 WPE Parameter error warning A parameter which exceeded the setting range was set. Illegal parameters are ignored. The values before the illegal parameter settings are held.

E6 AXE Removing control axis An axis removal command from the control unit has been issued.

E7 NCE Emergency stop The control unit has carried out an emergency stop.

(Note) The absolute value detector or absolute position detection system in the table refers to the

linear scale or linear scale amplifier unit.

Page 165: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-6 MCP alarms

A2 – 21

Appendix 2-6 MCP alarms These alarms denote that trouble has arisen in the drive amplifiers or other interfacing areas.

Y02 SYSTEM ALARM There is something wrong with the data transmission between the MCP

and amplifier after the power has been switched on. Error No. Details Remedy

0050 Background error A software or hardware failure is assumed. Contact the service center.

0051 0000 : 0001 : 0002 : ××03 :

CRC error (10 times/910.2msec) CRC error (two consecutive errors) Receive timing error (two consecutive errors) Data ID error (two consecutive errors) ×× : Axis No.

Communication error between the control unit amplifiers. Carry out noise measures.

××04 : Received-frame error (two consecutive errors) ×× : Axis No.

Y03 AMP UNEQUIPPED The amplifiers are not correctly connected.

Check the mounting state of the amplifiers. • Check the destination of the cable connections. • Check for breakages or disconnections in the cables. • Check the connections of the connectors. Amplifier input power is not being supplied. Illegal amplifier axis number switch.

Error No. Details Alphabetic character (axis name) Servo axis amplifier not mounted

1 ~ 4 PLC axis amplifier not mounted S 1st spindle amplifier not mounted T 2nd spindle amplifier not mounted

Y51 PARAMETER ERROR Something is wrong with the parameters that issue the alarms when

the control axis is operated. Error No. Details Remedy

1 LN FEED ABNL The linear acceleration/deceleration rapid traverse time constant has not been set. Or the value set is outside the setting range.

• Confirm "#2004 G0tL"

2 CT FEED ABNL The linear acceleration/deceleration cutting feed time constant has not been set. Or the value set is outside the setting range.

• Confirm "#2007 G1tL"

3 DRY F-F ABNL The primary delay rapid traverse time constant has not been set. Or the value set is outside the setting range.

• Confirm "#2005 G0tl"

4 DLY CUTG ABNL The primary delay cutting feed time constant has not been set. Or the value set is outside the setting range.

• Confirm "#2007 G1tl"

9 GRID INTERVAL ERROR • Confirm "#2029 grspc"

Page 166: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-7 Absolute position detection alarms

A2 – 22

Appendix 2-7 Absolute position detection alarms Contact the Service Center if any of the following alarms (excluding the Z73 ABS. POSI. WARNING) occurs.

(Note) The absolute value detector or absolute position detection system in the table refers to the

linear scale or linear scale amplifier unit.

Z70 ABS. POSI. ILLEGL □□□□ □□□□ (Error No.) (Axis No.)

The error is displayed if the absolute position data is lost in the absolute position detection system.

Error No. Details Remedy

Zero point initializa-ti

on

Alarm reset when

power is turned off

Servo alarm No.

0001 Zero point initialization is incomplete.

Complete zero point initialization.

Required – –

0002 The absolute position reference point data saved in the controller has been destroyed.

Input the parameters. If the reference point data cannot be restored, perform zero point initialization.

(Required) – –

0003 The parameters used to detect the absolute position have been changed.

Correctly set the parameters. Turn the power on again, and carry out zero point initialization.

Required – –

0004 The zero point initialization point is not at the grid position.

Carry out zero point initialization again.

Required – –

0005 Restoration was possible with parameter input in the above error No.0002 state.

Turn the power on again, and operation will be possible.

Not required

– –

0101 The power was turned on again after the servo alarm No.25 displayed.

Carry out zero point initialization again.

Required – –

0106 The power was turned on again after the servo alarm No.E3 displayed.

Carry out zero point initialization again.

Required – (E3)

Page 167: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-7 Absolute position detection alarms

A2 – 23

Z71 DETECTOR ABNL □□□□ □□□□ (Error No.) (Axis No.)

This alarm indicates that a detector error was detected in the absolute position detection system.

Error No. Details Remedy

Zero point initializa-ti

on

Alarm reset when

power is turned off

Servo alarm No.

0001 The backup voltage in the absolute position detector dropped.

Replace the battery, check the cable connection, and check the detector. Turn the power on again, and perform zero point initialization.

Required — (Z70-0101 appears after the power is turned on again.)

25

0003 Communication with the absolute position detector was inhibited during operation.

Replace the cable, card and detector. Turn the power on again, and perform zero point initialization.

(Required) Only when detector is replaced

Reset 91

0004 The absolute position data fluctuated when configuring the absolute position.

Replace the cable, card and detector. Turn the power on again, and perform zero point initialization.

(Required) Only when detector is replaced

Reset 93

0005 An error was found in the serial data of the absolute position detector.

Replace the cable, card and detector. Turn the power on again, and perform zero point initialization.

(Required) Only when detector is replaced

Reset 92

0006 Servo alarm E3 Absolute position counter warning.

Operation can be continued until the power is turned OFF.

(Required) Only when detector is replaced

Reset (Z70-0106 appears after the power is turned on again.)

E3

0007 Initial communication with the absolute position detector failed.

Replace the cable, card and detector. Turn the power on again, and perform zero point initialization.

(Required) Only when detector is replaced

Reset 18

Z72 POS. COLAT ABNL □□□□ □□□□ (Alarm No.) (Axis No.)

This alarm indicates that an error was detected when the detector's absolute position in the absolute position system and coordinates in the control unit were compared.

Alarm No. Details Remedy

0001 An error was found while confirming the position when G28 and G30 were executed.

0002 An error was found while confirming the position when M02 and M30 were executed.

Set the parameter #2052 "absg28" or #2053 "absm02" on the ABS POSITION screen to a value larger than that displayed in "COMPARE" in the ABS SERVO MONITOR screen.

Page 168: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-7 Absolute position detection alarms

A2 – 24

Z73 ABS POS. ALARM □□□□ □□□□ (Alarm No.) (Axis No.)

A warning occurred in the absolute position detection system.

Alarm No. Details Remedy

0001 Servo alarm 9F Battery voltage drop

The battery voltage dropped or the cable is disconnected. The absolute position does not need to be initialized again.

Page 169: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-8 System alarms

A2 – 25

HEX display □□□□

NC card temperature is high

NC card 0: Detection invalid 1: Detection valid

Appendix 2-8 System alarms

Message Details Remedy Z51 EE ROM ERROR

This occurs when the parameter could not be written normally in the E2ROM.

• Set E2rom on the SETUP PARAM to 0.

Z52 BATTERY FAULT

The voltage of the battery installed to hold the internal data has dropped.

• Replace the battery. • After charging or replacing the battery,

check the processing program.

Z53 TEMP OVER

The NC card temperature has risen above the specification. (Note 1)

• Take the appropriate measure to cool it. Lower the temperature by turning the control unit power off or using a cooler.

Z55 RIO DOWN

This alarm occurs when an error occurs in the communication between the NC card and remote I/O unit. • Cable breakage • Remote I/O unit fault • Power supply to the remote I/O unit

faulty

• Check the cable, and replace. • Replace the remote I/O unit • Check the power supply

(presence/absence, voltage)

(Note 1) Thermal alarm

If overheat is detected, an alarm display is made and simultaneously the TEMP OVER signal is output. If this alarm occurs during automatic operation, the operation can continue. But if the operation is terminated by resetting or with code M02 or M30, it cannot be restarted as is.(It can be restarted after a block stop or feed hold.) When the temperature goes down within the specification, the alarm display is erased and the TEMP OVER signal stops.

Z53 TEMP OVER 0 0 0□

If the TEMP OVER alarm occurred, the ambient temperature must be lowered immediately. If process operating must be continued, turn off the following parameter to invalidate the alarm.

PLC PARAM

Bit selection # 6449 □□□□□□□

Page 170: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-9 Message during emergency stop

A2 – 26

Appendix 2-9 Message during emergency stop

Message Details Remedy PLC The user PLC is in an emergency stop

status during sequence processing. • Investigate the cause of the user PLC

emergency stop, and remove the cause. EXIO The emergency stop input signal is

significant (open). • Release the emergency stop signal. • Check to see if the wiring is missing or

broken. SRV There is an alarm in the servo system,

and it is emergency stopped. • Investigate the cause of the servo alarm,

and remove the cause. STOP The user PLC (ladder sequence) is

not running. • Check if the NC card rotary switch CS2 is

set to 1. • Confirm whether [4 RUN/SP] (Run / Stop)

switch of the MELDAS MAGC Monitor PLC Edit File Registration screen (onboard function) is ON.

A Z55 alarm is being output. • Replace the card displayed in the Z55 alarm.

Page 171: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-10 Stop codes

A2 – 27

Appendix 2-10 Stop codes These codes indicate that the control unit is stopped due to some condition.

T01 CANT CYCLE ST The automatic operation cannot be executed, when automatic operation was attempted from the NC stop state.

Error No. Details Remedy 0101 AX IN MOTION

Since one of the axes is in motion, automatic start is not possible.

• Try automatic start again after all axes have stopped.

0102 READY OFF Automatic start is not possible because the NC ready signal is not supplied.

• Another alarm has been issued and the cause should be checked out and remedial action taken.

0103 RESET ON Automatic start is not possible because the reset signal has been input.

• Set the reset input signal to "off". The reset switch has malfunctioned or is at the "on" position at all times.

• Check if the reset switch is faulty causing it to not turn ON during normal operation.

• Review the sequence program. 0104 A-OP STP SGL

The STOP switch on the machine operation panel is at the "ON" position (activated).

• Take another look at the STOP switch.

• This switch is a B contact. • Check for a breakage or disconnection in

the feed hold signal line. • Check the sequence program.

0105 H/W STRK END Automatic start is not possible because one of the axes has reached the stroke end.

• If the stroke end has been reached by the axis end, move the axis by operating it manually.

• Check for a breakage or disconnection in the stroke end signal line.

• Check for a failure or malfunction in the stroke end limit switch.

0106 S/W STRK END Automatic start is not possible because one of the axes has reached the stored stroke end.

• Move the axis manually. • Check the contents of the parameter

unless the axis end is at the stored stroke end.

0107 NO OP MODE The operating mode has not been selected.

• Select the automatic operating mode. • Check for a breakage or disconnection in

the automatic operating mode signal line. 0108 OP MODE DUPL

Two or more automatic operating modes have been selected in error.

• Check for a shortcircuit in the mode selection signal line.

• Check for a failure or malfunction in the switch.

• Check the sequence program. 0109 OP MODE STOP

A shift was made from one automatic operating mode to another automatic operating mode.

• Return to the original automatic operating mode and initiate an automatic start.

0110 Searching tape Cannot automatic start because the tape is being searched.

• Carry out automatic start after the tape search is finished.

Page 172: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-10 Stop codes

A2 – 28

Error No. Details Remedy

0113 Temperature warning generation device Cannot automatic start because a temperature warning (Z53 overheat) is occurring.

• The NC card has exceeded the prescribed temperature. Carry out cooling measures.

0138 Start disable during absolute position detection alarm

Start signal is input during absolute position detection alarm.

• Start after the absolute position detection alarm is released.

0139 Start disable during zero point initialization

Start signal is input during zero point initialization of absolute position detection system.

• Start after the zero point initialization is complete.

T02 FEED HOLD The automatic operation halt status has been established due to

some condition or other during automatic operation. Error No. Details Remedy

0201 H/W STRK END An axis has reached stroke end.

• Move the axis from the stroke end limit switch manually.

• The processing program must be corrected.

0202 S/W STRK END An axis has reached the stroke end limit.

• Move the axis manually. • The processing program must be revised.

0203 RSET SGNL ON The reset signal has been input.

• The position at which the program is executed has returned to the head and so automatic operation is performed from the start point of the processing program.

0204 AUTO OP STOP The STOP switch is at the "ON" position.

• Automatic operation can be resumed by operating the START switch.

0205 AUTO MD CHNG Operating mode has been changed to another automatic operating mode.

• First return to the original automatic operating mode and automatic operation can then be resumed by means of the

START switch.

0215 Absolute position detection alarm stop Absolute position detection alarm occurred.

• Release the absolute position detection alarm.

Page 173: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-10 Stop codes

A2 – 29

T03 BLOCK STOP Displays that operation stops after a single block in the program

has executed during automatic operation. Error No. Details Remedy

0301 SNGL BLK ON The [SINGLE BLOCK] switch on the ON/OFF PARAMETER screen is at the "ON" position.

• Automatic operation can be resumed by setting the START switch to "ON".

0302 User macro stop The block stop command was specified in user macro program.

• Automatic operation can be resumed by setting the START switch to "ON".

0303 Mode change Automatic mode was changed to other automatic mode.

• First return to the original automatic mode and automatic operation can be resumed by setting the START switch to "ON".

0304 MDI completion The last block of MDI completed.

• MDI operation can be resumed by setting MDI again and setting the START switch to "ON".

T10 WAITING The operation status when there is no alarm and nothing appears

to be happening in automatic operation is displayed. Error No. Details

0001 M. S. T. B finish standby 0002 Rapid feed deceleration standby 0004 Cutting feed deceleration standby 0100 In dwell execution

Page 174: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-11 PLC alarms

A2 – 30

Appendix 2-11 PLC alarms When a PLC alarm occurs, the buzzer sounds and the alarm display (on the Machine Operation window) lights. On the Alarm Diagnosis window the alarm message that shows the cause of alarm and the operator message that instructs the remedial means are displayed. A max. four messages are shown on the message column. The operator message on the display indicates the remedy for the alarm message on the top line.

R : Resonator T : Table C : Operation panel of control system W : Control of cooling unit E : Loader/unloader

Error No. Details Remedy F00001 C: CONTROL PWR ABNL

The overcurrent protection circuit for the control power functioned.

• Contact to our service center.

F00002 R: CO2 LSR PWR ABNL The CO2 laser power supply unit is faulty.

• Check the cause with the display on the PCB in the CO2 power supply. Take special care against electric shocks as there is a high-voltage circuit inside.

F00003 W: ION EXCHANGE WAIT Quality of the secondary cooling water in the cooling uinit is getting better.

• If it takes a long time for the recovery during the secondary side cooling water quality recovery in the cooling unit, check the ion exchange resin.

F00004 W: WATER CONDUCTIVITY Water quality is ubnormal.

• If the state is not restored by operating the cooling unit for a long time, check the ion exchange resin.

• If this alarm occurs during operation, the ion exchange resin has deteriorated. Replace it.

F00005 R: LASER GAS, HIGH The laser gas pressure is higher than the setting.

• Check the laser gas pressure gauge in the CO2 laser.

F00006 R: LASER GAS, LOW The laser gas pressure is lower than the setting.

• Check the laser gas pressure gauge in the CO2 laser.

F00010 W: COOLING SYSTEM ABNL

• Check the cause of the alarm with the panel on the front of the cooling unit.

• If the cooling unit's error display lamp does not light, the flow switch (cooling water system, cooling tower water: water-cooled type) may have function temporarily, so check the operation of each flow switch.

F00011 R: OUTUT POWER WARN Setting power (W) and CO2 laser power (W) are different.

Implement the following measures. 1.Exchange laser gas. 2.Implement PR mirror alignment. 3.When it does not recover,

implement the maintenance of mirror according to the instruction manual.

F00012 R: OUTUT POWER ABNL CO2 laser is stopped due to the trouble of CO2 laser output.

Check the followings. 1.Whether a discharge is abnormal or not. 2.Whether **** is displayed for output or not. 3.Whether a beam mode is abnormal or not. If no abnormality occurred, implement the maintenance of PR mirror. If any abnormality occurred, contact our service center.

Page 175: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-11 PLC alarms

A2 – 31

Error No. Details Remedy F00013 R: ELCTRD COOL TEMP.

Water temperature at outlet of ignition electrode is high.

Check the followings. 1.Confirm the conductive sensor container, etc., and

check whether the air is mixed in the cooling water or not, and whether the cooling water temperature is getting higher or not.

2.In case the abnormality of water temperature supervened, a chilling unit may fail or the water quantity may be small in account.

F00014 R: RES. BEFORE BEAM ON

• Coaxial cable of the current sensor may have an error. Stop use of the resonator.

F00015 R: POWER CMP RATE ABNL

• Power compensation rate that calculated at the CO2 laser power calibration (maintenance operation) is over the regulated range.

Check the followings. 1. Whether a discharge is abnormal or not.2. Whether laser gas exchange is

implemented or not. 3. Whether a beam mode is abnormal or not.4. Whether PR mirror is cleaned or not.

F00016 R: BLWER THERML TRIP The thermal relay for the blower in the CO2 laser power supply has tripped.

• Check the thermal relay that has tripped in the CO2 laser power supply. Take special care against electric shocks as there is a high-voltage circuit inside.

F00017 R: INVERTER TROUBLE This is an inverter error.

• Wait for the blower to stop and then confirm again. • Before restarting, check the cause of the trouble in

the inverter of the CO2 laser. F00018 R: SAFETY DEVICE ABNL

The temperature of the damper at the CO2 laser shutter section is abnormal.

• Check the flow of the damper cooling water.

F00019 W: CLG WTR TEMP ERROR The cooling water temperature has exceeded the range of 0°C to 45°C.

• Check for fan cooler clogging, that the motor is rotating, and that the ambient temperature is within 35°C.

F00020 W: CLD WTR TEMP ERROR The cooling water temperature has exceeded approx. 30°C. The cooling water tank level has drop below the specified water level.

• Check the cooling tower operation and the cooling water tank level.

F00021 W: CLD WTR STABILZ WAIT Wait for a while until the cooling water temperature is stabilized.

• Wait for the cooling water temperature to stabilized.

F00022 R: BEAM ON, PRTECT ERR This alarm occurs when the beam has been ON continuously for five minutes in the shutter closed state.

• To allow the power damper water to cool, wait five minutes before turning the beam ON. This alarm is automatically reset after five minutes.

F00023 W: CLG WTR STABILZ WAIT

• When stabilized, it returns automatically and changes to the normal performance. In case not stabilized within 20 minutes, the cooling water becomes abnormal and stops the resonator.

Page 176: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-11 PLC alarms

A2 – 32

Error No. Details Remedy F00024 C: BEAM ON AT HIGH POS.

The shutter was opened and the beam turned ON at a position higher than the Z axis height set with the beam position limit.

• For safety purposes, carry out processing at a position lower than the beam position limit.

F00026 T: PRG FLW RATE ERR Purge flow rate is abnormal.

• Operate the dry air unit and compressor, and flow the purge air into the processing machine.

F00029 R: SHUTTER INOPERATIVE The shutter will not operate.

• Please contact our service center.

F00030 T: ASSIST GAS PRES. LOW The main pressure of the assist gas cylinder is 1.5kgf/cm2 or less at the processing machine inlet.

• Replace the assist gas cylinder.

F00031 T: ASSIST GAS PRESS. HIGH The main pressure of the assist gas cylinder is 10kgf/cm2 or more at the processing machine inlet.

• Adjust the main pressure of the assist gas cylinder to 9.9kgf/cm2 or less.

• When using the high-pressure specifications, set a value less than that given in the specifications.

F00034 T: HGT OUT OF CTRL (HIGH) During height control, the distance between the nozzle and workpiece is larger than the focus setting value.

• Is the focus setting value large making it easy to enter the error detection range?

• Change the sensor installation position, and adjust the height sensor.

• Confirm that there is no stepping on the workpiece surface.

F00035 T: HGT OUT OF CTRL (LOW) During height control, the distance between the nozzle and workpiece is smaller than the focus setting value.

• Is the focus setting value small making it easy to enter the error detection range?

• Change the sensor installation position, and adjust the height sensor.

• Confirm that there is no stepping on the workpiece surface.

F00037 T: HEAD IN CONTACT The processing head or the nozzle on the end of the processing head contacted the workpiece.

• Confirm that processing is not being carried out with a focus setting value that makes contact with the workpiece easy.

• Confirm that there are not obstacles on the workpiece.

F00038 T: H.SENSOR FEEDBACK OFF • Inspect the sensor connector on the processing head.

• Is the sensor selection No. and sensor type different?

F00040 C: MACHINE NOT INITIALIZE Height control or lifter raising, etc., was attempted before zero point return was completed.

• Carry out zero point return.

F00041 C: H.CNTRL G0 APPRCH PINT The approach amount and height setting amount are incorrect.

• Separate the G0 approach point 10mm to 20mm or more from the workpiece surface.

• If this alarm occurs even with the correct setting value, the height sensor is faulty.

F00042 C: RETRY CNTRL OVER TIMES The No. of skip retries exceeded the set No. of times.

F00047 T: H.SENSOR CHECK ABNL The sensor arm (contactor) is caught on the workpiece, or the sensor data is abnormal because of a faulty sensor.

• Reset the processing, and inspect the sensor.

Page 177: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-11 PLC alarms

A2 – 33

Error No. Details Remedy F00048 C: HGT CNTRL SET PNT ABNL

The total of the reference point compensation amount and focus setting exceeds the sensor detection range.

• Change the reference point compensation amount of focus setting.

F00049 C: M200 IN SKIP RETRY Skip retry was executed, but there is no miscellaneous code (M200 code), so the program was ended.

• Insert a miscellaneous code (M200 code) in the program when using skip retry.

F00050 C: BEAM ON TIE ERROR While the shutter was opened, the beam was ON for a long time with the processing head at the same position (XY axis).

• Inspect the program, etc., and make sure that the beam is not left ON for a long time at the same position.

F00051 C: CND GAS TYPE SET ERR An assist gas type that cannot be used was selected.

• Select an assist gas type that can be used.

F00055 R: LASE GAS PRES. LOW The laser gas is not at the set pressure.

• If the laser gas cylinder pressure is insufficient, replace the cylinder, and then open the laser gas intake valve.

F00056 R: VACUUM PUMP ABNL The vacuum pump thermal relay has tripped.

• There is a fault in the vacuum pump. • The thermal relay will also trip, such as when the

oil has hardened in the winter. In his case, reset the thermal relay, and then start operation again.

F00057 R: VACUUM INCOMPLETE The laser gas vacuum was not completed.

• Check the laser gas pressure gauge.

F00064 E: SEARCH DATA SET ERR Program number specified in the screen of External searching function or Processing setup is out of range.

• The program No. (sequence No.) designated with the external search function or operation screen is outside the range.

F00065 E: SEARCH ERR Error occurred in External searching function. *No searched program number exists. *Error of I/O unit. *Operation is not on the reset state.

This error occurs during the external search function. • The searched program No. was not found. • The tape is not set. • I/O unit error. • The operation has not been reset.

F00080 P: PALLET LOCK ERR The pallet lock or unlock cannot be completed.

• Check the operation air pressure and signal.

F00081 P: PALLET UP/DOWN ERR The pallet lifter operation is not completed within the specified time.

• Check each signal.

F00082 P: SET WAITING Waiting to complete setting.

• Complete the setting.

Page 178: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-11 PLC alarms

A2 – 34

Error No. Details Remedy F00096 T: OVER STROKE A

The lens is not operating correctly.

• Initialize the lens position. • Check that the preset cable is not incorrectly

wired. • Check that the connector is not dislocated.

F00099 T: OVER STROKE B Focus data exceeding the possible lens movement range was set.

• Check the #2 Focus Data in the processing conditions data.

F00104 T: BELLOWS BURNT

• Check that the processing lens is not broken. Check that the center is not deviated. Check that the cable is not broken.

F00107 E: AUTO POWER OFF SIGNAL The power has been shut off because the input terminal was opened.

• Auto power off (X32)

F00108 E: EXT INTERLOCK The automatic operation stopped, and the laser beam, shutter, height sensor and gas were turned OFF because the input terminal was opened.

• Exit interlock (X33)

F00110 T: INTERRUPTION INTERLOCK

• Remove the obstacle for the stop area.

F00117 R: LAS-GAS EXCHANGE Automatic laser gas exchange is performed. (Under automatic operation)

LASER UNIT becomes the completion of preparation, after automatic laser gas exchange.

F00120 T: BEAM ADAPT.MOTION TRBLE The beam optimizing unit is not operating as commanded by the controller.

• If this alarm recurs after resetting the alarm, turn the main breaker OFF once. Wait five minutes and then turn the main breaker ON again.

F00121 T: BEAM ADAPT.CPU ABNL The beam optimizing unit's CPU is not operating correctly.

• Turn the main breaker OFF once. Wait five minutes and then turn the main breaker ON again.

F00122 T: BEAM ADAPT.UNIT ABNL The beam optimizing unit abnormal.

• Turn the main breaker OFF once. Wait five minutes and then turn the main breaker ON again.

1:Command value. 4:Actuator sensor. 5:TIME OVER. 6:Displacement sensor.

F00123 T: BEAM ADAPT.CALIBRATE

A beam on and automatic operation were executed while calibrate the beam optimization unit.

• The TABLE LED flickering while calibrate. Please wait until LED on steadily.

F00124 T: SFTY DR ERR A wrong point occurred in the cover of the front.

• Confirm an item to indicate in the classified number.

1:The bottom cover is close. 2:An operation side area sensor is working. 3:Alarm of Inverter. 4:The switch of the top end and the bottom edge is out of order.

Page 179: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-11 PLC alarms

A2 – 35

Error No. Details Remedy F00125 T: PRESET HEAD ERR

A wrong point occurred in the pre-set head.

• 1.An ON/OFF PARA. "pre-set head" or a READY key is turned off. 2.ALARM RESET switch is pushed. 3.An ON/OFF PARA. "pre-set head" or a READY key is turned on. 4.When it doesn't recover , a main breaker is turned off for a while.

1:FAULT(Servo Control) 2:fault reference travel 3:fault Lens position

F00127 T: RETRY ERR. On the way to approach, Height control reverted by the obstacle.

• When there are processing start height and return height by on point retrial more than 20mm(0.784inch),it is judged that there is an obstacle in a position of a return, and processing is stopped.Get rid of an obstacle, and resume pro-cessing.

F00128 T: MULTI CHMBR ERR The dust-collecting mouth of a multi chamber does not open and close.

• Check the pressure of operation air, and operation of the dust-collecting mouth of the following number.

• The number of the dust-collecting mouth is No. 1

from the processing machine orignal point side.

11: dust-collecting mouth No.1 opened

12: dust-collecting mouth No.1 closed

21: dust-collecting mouth No.2 opened

22: dust-collecting mouth No.2 closed

31: dust-collecting mouth No.3 opened

32: dust-collecting mouth No.3 closed

41: dust-collecting mouth No.4 opened

42: dust-collecting mouth No.4 closed

51: dust-collecting mouth No.5 opened

52: dust-collecting mouth No.5 closed

61: dust-collecting mouth No.6 opened

62: dust-collecting mouth No.6 closed

71: dust-collecting mouth No.7 opened

72: dust-collecting mouth No.7 closed

81: dust-collecting mouth No.8 opened

82: dust-collecting mouth No.8 closed

F00129 T: CNVRG LUB ERR Concentration lubricous equipment broke down.

• Check the following item. Processing is forbidden, in order to protect drive parts, if abnormalities continue (accumulation of automatic operation time is about 30 hours). When abnormalities occur, please perform oil supply etc. a little early. 1: Pressure does not rise to 0.8MPa(s) within 60

seconds after pump operation. 2: Pressure does not descend within pause time. 3: Since the oil in a tank decreased, the flow

switch operated.

Page 180: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-11 PLC alarms

A2 – 36

Error No. Details Remedy F00130 T: NO GAS-ON CMMND

In order to perform beam- ON/OFF at high speed, gas- ON/OFF stop fulfilling demand. For this reason, it is necessary to order it gas-on before processing.

For using high-speed processing (M700), put in gas-on (M80) before processing (pre-block of M198).

F00131 T: WRK LFTR ERR The work lifter of palette equipment is unusual.

• Check the following item. 1: The work lifter was operated in the state which is

not right. 2: The oil pressure pump of a work lifter does not

operate. 3: The work lifter ordered it palette exchange in the

state where there is nothing at a lower end. <Signal> 1) 25LVP、30LVP

Work lifter rise end :(X1E) Work lifter downward end :(X1F) Under oil pressure pump operation :(X0D)

2) 37LVP Work lifter rise end :(XAD) Work lifter downward end :(XAE) Work lifter thermal-trip :(XAF)

3) PV Work lifter rise end :(X68) Work lifter downward end :(X6A) Work lifter thermal-trip :(X6D)

F00132 T: L/UL ERR Palette taking-out ON is unusual.

• Check the following item. 1: Taking out does not begin. 2: Even if it passes regulation time, carrying in or

taking out is not completed. 3: Although taken out, the palette is not in a

taking-out end.

F00133 T: L/UL INHBT Palette taking-out ON was forbidden.

Palette taking-out ON cannot be carried out in the following state. 1: Under automatic operation starting 2: Under beam-on 3: Under height control execution 4: Z-axis is not in a orignal point position. 5: Palette lifter is not in the position which can carry

out taking-out ON.

F00134 T: PRCSS INHBT Before the taking-out ON of the palette was completed, automatic operation, beam-on and height control were performed.

• Complete the taking-out ON of the palette.

Page 181: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-11 PLC alarms

A2 – 37

Error No. Details Remedy F00135 T: AUTO CLAMP ERR

Used at inappropriate position. Or auto clamp does not function.

• Check the position of pallet, work lifter and auto clamp, and operation air pressure.

<Classification> 1. Opened/closed the auto clamp while A/B pallet

was not in position (horizontal). 2. Closed the auto clamp while the work lifter was

not at the lower end. 3. Operate the pallet while the auto clamp was

opened. 4. Lift up the work lifter while the auto clamp was

closed. 5. Auto clamp does not work.

F00140 T: DRWR ERR The drawer is not being fixed.

• Fix each drawer with a lever. <Classification> The number of the drawer makes a original point side No. 1. 1: drawer1 2: drawer2 3: drawer3 4: drawer4

F00141 T: Z-AXS CVR OPN The cover of the Z-axis is open.

• Close a Z-axis cover.

F00142 T: SFTY DR INHBT Since the light electric sensor of the safe door is shaded, the safe door cannot be gone up.

• Do not shade the light electric sensor.

F00143 T: ALL-RND CVR OPN All circumferences door is open.

• Please shut the open door. <Classification> 1:door1 :(XD9) 2:door2 :(XDA) 3:door3 :(XDB) 4:door4 :(XDC) 5:door5 :(XDD) 6:door6 :(XDE)

F00144 T: SFTY DR OPN The safe door is open.

• Raise a safe door to a rise end.

F00145 T: P/C ARE SNSR The area sensor by the side of palette changer is shaded.

• Carry out the following procedures. 1. separates from the palette changer. 2.The area sensor is returned with the "area sensor

reset" switch, and then turns off the display light of this switch.

F00146 T: RMT INTRLCK The remote interlock signal turns off.

• Turn on a remote interlock signal (X35).

F00147 T: CLLCT BOX ERR The lid of a van pattern extraction box is open.

• Shut certainly the lid which has two places.

Page 182: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-11 PLC alarms

A2 – 38

Error No. Details Remedy F00148 T: DST CLLCTR ERR

Dust-collecting equipment is unusual.

• Check the unusual display of dust-collecting equipment.

F148-1: The completion signal of preparation of dust-collecting equipment (X2A) turned off.

1) The filter was got blocked and the pressure difference became large.

2) Apparatus, such as an inverter, is unusual.

3) The power supply of the dust-collecting equipment.is not on.

F148-2: In the state (X2A OFF) where it is not the completion signal of preparation (X2A), dust-collecting equipment carried out beam-on.

F00150 T: CRTRDGE CHNG Exchanged for the lens cartridge from which a focal length differs.

• Exchange for the lens cartridge from which a focal length differs, please carry out in the following procedures.

1) Turn off the ON/OFF Parameter " PRESET head" or the READY key.

2) Exchange the Cartridges. 3) Turn on the ON/OFF Parameter " PRESET

head" or the READY key.

F00151 T: DST CLLCTR WAIT Dust collector Waiting for startup.

• After the dust collector started up, beam turns ON automatically.

When during automatic operation, the processing is continued automatically after the beam was turned ON. In case the ready signal at dust collector is OFF after about 360 seconds, it turns to an error. In case of implementing the key operation other than Beam ON during this warning, the beam is not turned ON and the operated key becomes valid. At that time, the startup of the dust collector is continued without change. <signal> Ready signal (X2A)

F00152 T: LEAD SET INHBT Take care the photoelectric switch is ON.

• Take care the photoelectric switch is ON.

<signal> Photoelectric switch (XDF)

F00153 T: P/C CVR OPN Cover of P/C is opened.

• Close the cover of P/C. <signal> P/C cover (X0E)

Page 183: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-11 PLC alarms

A2 – 39

Error No. Details Remedy F00155 T: ROTATION TABLE ABNL

A rotation table can't be prepared. • Set a rotation table and a palette on the following

position. 1) Both palettes are moved to a position of

carrying out. 2) When penetration is processed, a palette

lifter is moved to the end of the descent. 3) A rotation table is moved to the set position

(the position of a fixation on the carrying out side).

<Classification> F155-1

A position of storage F155-2

A position of a set & ON/OFF"ROTATION TABLE"ON

F155-3 A position of a set & ON/OFF"ROTATION

TABLE"OFF F155-4

A position of the middle F155-5

The switch trouble F155-6

A position of a palette is illegal. <Signal>

A position of storage (X21) A position of a set (X22)

F00156 T: TAIL STOKE ABNL Tail stoke is abnormal.

• Process it after a clamp does work with Tail stoke. (1) A clamp should do work with a lever of Tail

stoke. (2) Confirm the pressure of the operation air. (3) Turn on an ON/OFF"TAIL STOKE INVL", and

use when you don't use Tail stoke. <Classification>

F156-1 A clamp isn't being done.

F156-2 Lack of pressure of the operation air <Signal>

Clamp (X23) Operation air pressure (X18)

F00157 T: PHOTO. SWITCH The photoelectric switch of safety door is OFF.

• Take care the photoelectric switch is ON. <Signal>

Photoelectric switch (XDF)

F00158 R: OUTPUT POWER OVER The resonator output power exceeded the upper limit in CURRENT CONTROL.

• Lower the current value set in CURRENT CONTROL.

Page 184: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-11 PLC alarms

A2 – 40

Error No. Details Remedy F00159 R: ELECTRD TEMP ABNL

The temperature of discharging electrodes is becoming high.

• Check the following: 1. Check if the cold-water temperature of the

cooling unit is becoming high. (Normally around 10 degrees Celsius) if it is high, place a service call.

2. If the cold-water temperature is normal, restart the resonator after 10 or more minutes of stopping.

3. After the completion of the restart, turn the laser beam on in a condition of 1000W (output power) 500Hz (frequency) 100% (duty) with CURRENT CONTROL on to check the discharging condition through the viewing window.

4. If you should see stormy discharge, immediately stop the resonator and change the gas.

5. Repeat step 2 and 3. If there is no change in the discharging condition, place a service call.

6. When placing a service call, tell us the oscillation conditions (output power, frequency, duty) used when this alarm has occurred.

<Classification> F159-1: An electrode on the PR side F159-2: An electrode on the TR side F159-3: An electrode on the all side

<Signal> An electrode on the PR side (X47) An electrode on the PR side (X48)

F00160 R: PR PRG PRES LOW The PR purge pressure is dropping.

• Check the purge pressure gauge of the resonator and processing machine.

<Signal> Pressure of the PR purge (X4E)

F00161 T: ASSIST GAS PRES. WARN Assist gas pressure decreases in comparison with the setup pressure.

• Carry out the following treatment before becoming a processing defect.

1. Assist gas is turned on with a switch, and assist gas pressure and a setup are confirmed.

2. The pressure of the supply cause is confirmed. 3. The gas cylinder which residual quantity is small

in is exchanged. 4. The pressure of the supply cause is increased.

F00162 T: ASSIST GAS PRES. ABNL Assist gas pressure decreased greatly in comparison with the setup pressure.

• Carry out the following treatment. 1. Assist gas is turned on with a switch, and assist gas pressure and a setup are confirmed. 2. The pressure of the supply cause is confirmed. 3. The gas cylinder which residual quantity is small

in is exchanged. F00163 T: PH-X CPU ERROR

Control unit CPU of the PH-X focus preset head has an error.

• Contact the service center

Page 185: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-11 PLC alarms

A2 – 41

F00164 T: PH-X LENS MOVING Processing lens in the PH-X

focus preset head is moving. When it is under the automatic operation(under execution of the processing program), it is waiting for the completion of the processing lens transition at the "M66:Shutter open" and "M100: Processing condition search".

• Wait for the completion of the lens transition. Display will OFF automatically after the completion of the lens transition while under automatic operation(under the processing program), and the processing continues.

• To implement the manual beam ON, beam ON again after automatically disappearing this display.

Error No. Details Remedy F00165 T: PH-X INSTALLATION ERR

PH-X focus preset head is not installed normally.

• Error item can be judged by the numbers on the end of the alarm name. Confirm the number and install it correctly.

<Classification> 1: Processing head unit installation error

Combination of the installed lens holder unit or adaptor unit and the processing head is wrong.

Refer to the instruction manual to correct the combination.

2: PH-X door open Automatic start-up, shutter open or Z axis traverse without closing PH-X front door is prohibited.

Close the door for operation (XE7) 3.Cartridge is not installed

Automatic start-up, shutter open, focal position reset or standard point set are prohibited while the lens cartridge is not installed.

(XE4, XE5) 4.Adaptor installation error

Adaptor under the processing head detects an error. If this alarm is issued while the adaptor is installed normaly, call manufaturer.

F00166 T: PH-X ACTION ERROR

Action error of the PH-X focus preset head.

• Inform the alarm name and the numbers on its end to the service center.

<Classification> 1.Incorrect command value 2.Over run (+) 3.Over run (-) 4.Adaptor is not installed 5.Error is too big 6.Proximity switch for the zero point error 8.Zero point return time over 9.Data transfer connection error

F00167 T: PH-X STROKE OVER

Lens stroke is over the normal range by the amount of numbers at the end of the alarm message. Correct the "Focus adjust" into the normal range in the processing condition and reset alarm.

(ex.) If the numbers at the end is "-2.0" and "focus adjust" amount is "-5.0", increase the "focus adjust" amount into "-3.0" or more.

Page 186: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-11 PLC alarms

A2 – 42

F00168 C: RETURN CAL ERROR Cannot calculate the axis transfer at retry return control.

• Return control cannot be carried out without set the restart condition on processing condition file. Set the restart condition at processing condition file.

F00169 T: BURNING NUM. OVER

The number of burning detection time exceeds the preset “The number of burning”.

• Check if the processing condition is appropriate. Sensor may get dirty. Sensor may have problem. Check if there is any ailure.

Error No. Details Remedy F00170 T: ME SENSOR ERROR

Detect the voltage failure on ME function sensor.

• Contact to service center, since it may be caused by disconnection failure of the sensor cable.

• To continue the processing with invalidating the ME function, turn the ME function on the processing parameter into “invalid”.

F00171 T: SS BURNING DETECT

Processing failure (SS burning) during the mild steel processing.

• Check if the processing conditions are appropriate.

F00172 T: SUS BURST DETECT Processing failure (SUS burst) during the stainless steel processing.

• Check if the processing conditions are appropriate.

F00173 T: PRCS HEAD TEMP ERR Temperature of processing head exceeds 55 degree. Stop to protect the ME sensor on processing head. This alarm cannot be reset until the temperature become 45 degrees or less. Wait for cooling down. *CAUTION! ---Do not touch the processing head or it may cause burn.

• Check the processing condition. If the alarm occurs at normal condition, contact to the service center.

F00174 T: PH-X FOCUS ACTION ERROR Blue flame cannot be detected during the auto focus process.

• Check the following items. If there is no error, increase the set power by 50W and retry.

1.Check the processing lens installation position/condition

2.Check nozzle diameter 3.Check beam alignment adjustment

F00175 WHILE AUTO HGT CALIB

F00176 R:SAMPLNG A-NET I/O CHARACT

F00177 E: NO NOZL TOUCH

• Contact the nozzle to workpiece when focusing and height control calibration.

F00178 E: UNCOMPLT LASER READY Start the program that needs BEAM ON despite uncompleted CO2 laser.

• Start-up the CO2 laser.

Page 187: 004 Basic Bop Lea312 Ast

Appendix 2 Alarm Lists Appendix 2-11 PLC alarms

A2 – 43

F00179 R: RESONATOR COOLING WATER LEAKAGE

Water leakage in the resonator electrode or power panel inside.

• Carry out the following treatment. Open the resonator top power panel front cover. If the "WATER LEAK" LED on the internal PCB is illuminating, immediately turn OFF the control unit power and also the resonator power.

F00180 T: 10 INCH LENS IS PROHIBITED

Use the 7.5 or 5 inch processing lens.

Page 188: 004 Basic Bop Lea312 Ast

Appendix 3 Trouble shooting

A3-1

Appendix 3 Trouble shooting

Function Phenomenon Remedy The character takes the shape when the key is input from the machine operation board. The alphanumeric character key can be normally input.

There is no kind of the keyboard set on WindowsOS and the processing parameter screen (#222 # keyboard selection).

Please set it as follows. <When WindowsOS is Japanese> #222 Keyboard selection “0" is set. <When WindowsOS is English> #222 Keyboard selection “1" is set.