What Users Want and What Hardware Provides: Bridging the ...r97128/MobileCPU... · 9/10/2015  ·...

Post on 07-Jun-2020

1 views 0 download

Transcript of What Users Want and What Hardware Provides: Bridging the ...r97128/MobileCPU... · 9/10/2015  ·...

What Users Want and What Hardware Provides: Bridging the Gap Between User Quality of Experience (QoE) and Mobile Device Trends

Vijay Janapa ReddiThe University of Texas at Austin

Academia Sinica — Sep. 10, 2015

2

3

4

Call

Text

Email

4

Call

Text

Email

4

Call

Text

Email The (in)famous “snake game”

4

5

Mob

ile A

pplic

atio

ns

0

500,000

1,000,000

1,500,000

2,000,000

Years

2009 2010 2011 2012 2013Data source: Mobilewalla

6

“I want desktop-level performance on my mobile device.”

7

“I want desktop-level performance on my mobile device.”

7

Responsiveness

8

Responsiveness

▸100 ms latency is the limit for having users feel the system is reacting responsively [Miller 1968; Card et al. 1991]

8

Responsiveness

▸100 ms latency is the limit for having users feel the system is reacting responsively [Miller 1968; Card et al. 1991]

▸64% of mobile users will not revisit a slow Web app [Source: Akamai]

8

Responsiveness

▸100 ms latency is the limit for having users feel the system is reacting responsively [Miller 1968; Card et al. 1991]

▸64% of mobile users will not revisit a slow Web app [Source: Akamai]

▸Amazon found every 100 ms of latency costs them 1% in sales per year [Source: Amazon]

8

Responsiveness Energy-Efficiency

8

Responsiveness Energy-EfficiencyConflicting requirements

8

9

The Mobile Device Virtuous Cycle

9

The Mobile Device Virtuous Cycle

Architecture

9

The Mobile Device Virtuous Cycle

ArchitectureApplications

9

The Mobile Device Virtuous Cycle

ArchitectureApplications

Perfo

rman

ce

9

The Mobile Device Virtuous Cycle

ArchitectureApplications

Perfo

rman

ce

Capabilities

9

The Mobile Device Virtuous Cycle

ArchitectureApplications

Perfo

rman

ce

Capabilities

Our conventional research focus

9

The Mobile Device Virtuous Cycle

Mobile DeviceArchitectureApplicationsEnd

Users

Perfo

rman

ce

Capabilities

Our conventional research focus

9

The Mobile Device Virtuous Cycle

Mobile DeviceArchitectureApplicationsEnd

Users

Perfo

rman

ce

Capabilities

Pow

er, E

nerg

y &

Ther

mal

Budg

ets

Our conventional research focus

9

The Mobile Device Virtuous Cycle

Mobile DeviceArchitectureApplicationsEnd

Users

Perfo

rman

ce

Feat

ures

Capabilities

Pow

er, E

nerg

y &

Ther

mal

Budg

ets

Our conventional research focus

9

The Mobile Device Virtuous Cycle

Mobile DeviceArchitectureApplicationsEnd

Users

Satisfaction

Perfo

rman

ce

Feat

ures

Capabilities

Pow

er, E

nerg

y &

Ther

mal

Budg

ets

Our conventional research focus

9

The Mobile Device Virtuous Cycle

Mobile DeviceArchitectureApplicationsEnd

Users

Satisfaction Power Consumption

Perfo

rman

ce

Feat

ures

Capabilities

Pow

er, E

nerg

y &

Ther

mal

Budg

ets

Our conventional research focus

9

The Mobile Device Virtuous Cycle

Mobile DeviceArchitectureApplicationsEnd

Users

Satisfaction Power Consumption

Perfo

rman

ce

Feat

ures

Capabilities

Pow

er, E

nerg

y &

Ther

mal

Budg

ets

Our conventional research focus

10

The Mobile Device Virtuous Cycle

Mobile DeviceArchitectureApplicationsEnd

Users

Satisfaction Power Consumption

Perfo

rman

ce

Feat

ures

Capabilities

Pow

er, E

nerg

y &

Ther

mal

Budg

ets

Mobile Device Power Consumption Trends

11

8000

6000

4000

2000

0

Peak

Sus

tain

ed P

ower

(m

W)

Droid(2009)

Galaxy S(2010)

Galaxy N(2011)

Galaxy S3(2012)

Galaxy S4(2013)

Smartphone Model 11

Mobile Device Power Consumption Trends

12

8000

6000

4000

2000

0

Peak

Sus

tain

ed P

ower

(m

W)

Droid(2009)

Galaxy S(2010)

Galaxy N(2011)

Galaxy S3(2012)

Galaxy S4(2013)

Smartphone Model

Screen

12

Mobile Device Power Consumption Trends

13

8000

6000

4000

2000

0

Peak

Sus

tain

ed P

ower

(m

W)

Droid(2009)

Galaxy S(2010)

Galaxy N(2011)

Galaxy S3(2012)

Galaxy S4(2013)

Smartphone Model

Screen Radio

13

Mobile Device Power Consumption Trends

14

8000

6000

4000

2000

0

Peak

Sus

tain

ed P

ower

(m

W)

Droid(2009)

Galaxy S(2010)

Galaxy N(2011)

Galaxy S3(2012)

Galaxy S4(2013)

Smartphone Model

Screen Radio CPU

14

Mobile Device Power Consumption Trends

14

8000

6000

4000

2000

0

Peak

Sus

tain

ed P

ower

(m

W)

Droid(2009)

Galaxy S(2010)

Galaxy N(2011)

Galaxy S3(2012)

Galaxy S4(2013)

Smartphone Model

Screen Radio CPU

14

Mobile Device Power Consumption Trends

14

8000

6000

4000

2000

0

Peak

Sus

tain

ed P

ower

(m

W)

Droid(2009)

Galaxy S(2010)

Galaxy N(2011)

Galaxy S3(2012)

Galaxy S4(2013)

Smartphone Model

Screen Radio CPU

An order of magnitude increase in total CPU power consumption

14

Pow

er

Performance

20092010

2011

2012

2013 ~ Present

finc

Out-of-order

Multi-core

Asymmetric Multi-core

15

Pow

er

Performance

20092010

2011

2012

2013 ~ Present

finc

Out-of-order

Multi-core

Asymmetric Multi-core

15

Pow

er

Performance

20092010

2011

2012

2013 ~ Present

finc

Out-of-order

Multi-core

Asymmetric Multi-core

15

Question #1: Is the significant increase in processor power consumption justified?

Question #2: Does mobile CPU performance matter to user satisfaction?

Question #3: Can future mobile CPUs be energy-efficient and deliver performance?

16

The Mobile Device Virtuous Cycle

Mobile DeviceArchitectureApplicationsEnd

Users

Satisfaction Power Consumption

Perfo

rman

ce

Feat

ures

Capabilities

Pow

er, E

nerg

y &

Ther

mal

Budg

ets

Question #1: Is the significant increase in processor power consumption justified?

The Mobile Device Virtuous Cycle

17

Mobile DeviceArchitectureApplicationsEnd

Users

Satisfaction Power Consumption

Perfo

rman

ce

Feat

ures

Pow

er, E

nerg

y &

Ther

mal

Budg

ets

Capabilities

Question #1: Is the significant increase in processor power consumption justified?

Benchmarking Mobile CPU Performance

18

Benchmarking Mobile CPU Performance

18

Orders of Magnitude Increase in Performance

19

7

5

3

1

Norm

aliz

ed S

peed

up

D S N

S3S

S3E

S4S

S4E

S5S

S5E

Smartphone Model

SPEC Coremark

7

5

3

1

Norm

aliz

ed S

peed

up

D S N

S3S

S3E

S4S

S4E

S5S

S5E

Smartphone Model

SPEC Coremark

Orders of Magnitude Increase in Performance

19

7

5

3

1

Norm

aliz

ed S

peed

up

D S N

S3S

S3E

S4S

S4E

S5S

S5E

Smartphone Model

SPEC Coremark

7

5

3

1

Norm

aliz

ed S

peed

up

D S N

S3S

S3E

S4S

S4E

S5S

S5E

Smartphone Model

SPEC Coremark

However, Power Consumption is Steadily Rising

20

2.0

1.5

1.0

0.5

0.0Dyna

mic

Pow

er (

W)

D S N

S3S

S3E

S4S

S4E

S5S

S5E

Smartphone Model

SPECCoremark

7

5

3

1

Norm

aliz

ed S

peed

up

D S N

S3S

S3E

S4S

S4E

S5S

S5E

Smartphone Model

SPEC Coremark

However, Power Consumption is Steadily Rising

20

2.0

1.5

1.0

0.5

0.0Dyna

mic

Pow

er (

W)

D S N

S3S

S3E

S4S

S4E

S5S

S5E

Smartphone Model

SPECCoremark

7

5

3

1

Norm

aliz

ed S

peed

up

D S N

S3S

S3E

S4S

S4E

S5S

S5E

Smartphone Model

SPEC Coremark

However, Power Consumption is Steadily Rising

20

2.0

1.5

1.0

0.5

0.0Dyna

mic

Pow

er (

W)

D S N

S3S

S3E

S4S

S4E

S5S

S5E

Smartphone Model

SPECCoremark

“Good fortune” byprocess technology

7

5

3

1

Norm

aliz

ed S

peed

up

D S N

S3S

S3E

S4S

S4E

S5S

S5E

Smartphone Model

SPEC Coremark

Process scaling is getting costlier, no free ride!

21

CPU Power Consumption and Energy Trends

▸ Power consumption has risen steadily over the years

▸ Energy efficiency trends appear to be plateauing

▸ Process technology is key for low-power execution22

1.0

0.8

0.6

0.4

0.2

0.0Norm

aliz

ed E

nerg

y

D S N

S3S

S3E

S4S

S4E

S5S

S5E

Smartphone Model

SPEC Coremark

CPU Power Consumption and Energy Trends

▸ Power consumption has risen steadily over the years

▸ Energy efficiency trends appear to be plateauing

▸ Process technology is key for low-power execution22

1.0

0.8

0.6

0.4

0.2

0.0Norm

aliz

ed E

nerg

y

D S N

S3S

S3E

S4S

S4E

S5S

S5E

Smartphone Model

SPEC Coremark

?

The Mobile Device Virtuous Cycle

23

Mobile DeviceArchitectureApplicationsEnd

Users

Satisfaction Power Consumption

Perfo

rman

ce

Feat

ures

Pow

er, E

nerg

y &

Ther

mal

Budg

ets

Capabilities

The Mobile Device Virtuous Cycle

23

Mobile DeviceArchitectureApplicationsEnd

Users

Satisfaction Power Consumption

Perfo

rman

ce

Feat

ures

Pow

er, E

nerg

y &

Ther

mal

Budg

ets

Capabilities

The Mobile Device Virtuous Cycle

24

Mobile DeviceArchitectureApplicationsEnd

Users

Satisfaction Power Consumption

Perfo

rman

ce

Feat

ures

Pow

er, E

nerg

y &

Ther

mal

Budg

ets

Capabilities

Question #2: Does mobile CPU performance matter to user satisfaction?

Peak CPU Frequency

Max CPU Cores Enabled

Peak GPU Frequency

CPUFrequency

CPU CoreCount

GPUFrequency

Record User Interaction Parameterized Replay Publish Replay Crowdsourced User Survey

SurveyAnalysis

YouTubeHosting

Survey MonkeyA/B Testing

ScreenRecording

RERAN Replay Tool

User InteractionEvent Stream

AmazonMechanical Turk

Crowdsourced-based User Satisfaction Study

25

Peak CPU Frequency

Max CPU Cores Enabled

Peak GPU Frequency

CPUFrequency

CPU CoreCount

GPUFrequency

Record User Interaction Parameterized Replay Publish Replay Crowdsourced User Survey

SurveyAnalysis

YouTubeHosting

Survey MonkeyA/B Testing

ScreenRecording

RERAN Replay Tool

User InteractionEvent Stream

AmazonMechanical Turk

Crowdsourced-based User Satisfaction Study

Over 20,000 “end-users”

25

Peak CPU Frequency

Max CPU Cores Enabled

Peak GPU Frequency

CPUFrequency

CPU CoreCount

GPUFrequency

Record User Interaction Parameterized Replay Publish Replay Crowdsourced User Survey

SurveyAnalysis

YouTubeHosting

Survey MonkeyA/B Testing

ScreenRecording

RERAN Replay Tool

User InteractionEvent Stream

AmazonMechanical Turk

Crowdsourced-based User Satisfaction Study

Over 20,000 “end-users”

$0.10 a task

25

Peak CPU Frequency

Max CPU Cores Enabled

Peak GPU Frequency

CPUFrequency

CPU CoreCount

GPUFrequency

Record User Interaction Parameterized Replay Publish Replay Crowdsourced User Survey

SurveyAnalysis

YouTubeHosting

Survey MonkeyA/B Testing

ScreenRecording

RERAN Replay Tool

User InteractionEvent Stream

AmazonMechanical Turk

Crowdsourced-based User Satisfaction Study

Over 20,000 “end-users”

$0.10 a task

1 day25

Crowdsourced-based User Satisfaction Study

26

Crowdsourced-based User Satisfaction Study

1. Very dissatisfied

2. Dissatisfied

3. Neutral

4. Satisfied

5. Very satisfied

26

Crowdsourced-based User Satisfaction Study

1. Very dissatisfied

2. Dissatisfied

3. Neutral

4. Satisfied

5. Very satisfied

26

Crowdsourced-based User Satisfaction Study

1. Very dissatisfied

2. Dissatisfied

3. Neutral

4. Satisfied

5. Very satisfied

26

Bridging the Long-standing Void Between CPU Design Decisions and End-user Satisfaction

27

“Is High Single-Thread Performance Required?”

28

“Is High Single-Thread Performance Required?”

▸ Bursty behavior in mobile applications can impact end-user satisfaction

28

“Is High Single-Thread Performance Required?”

▸ Bursty behavior in mobile applications can impact end-user satisfaction

28

“Is High Single-Thread Performance Required?”

▸ Bursty behavior in mobile applications can impact end-user satisfaction

28

“Is High Single-Thread Performance Required?”

▸ Bursty behavior in mobile applications can impact end-user satisfaction

28

▸ Slow login results in abandonment of app service

“Does Multi-core Performance Matter?”

▸ Angry Birds needs very little performance from the hardware

29

Angry Birds (2009)

“Does Multi-core Performance Matter?”

▸ Angry Birds needs very little performance from the hardware

29

Angry Birds (2009) Photoshop (2014)

▸ Photoshop benefits from having multiple cores for performance

“Does Multi-core Performance Matter?”

▸ Angry Birds needs very little performance from the hardware

29

Angry Birds (2009) Photoshop (2014)

▸ Photoshop benefits from having multiple cores for performance

“Does Multi-core Performance Matter?”

▸ Angry Birds needs very little performance from the hardware

29

Angry Birds (2009) Photoshop (2014)

▸ Photoshop benefits from having multiple cores for performance

“Isn’t Mobile GUI Based (i.e., GPU Heavy)?”

30

“Isn’t Mobile GUI Based (i.e., GPU Heavy)?”

▸ Yes and No, it depends

▸ User satisfaction indicates that GPUs are heavily over provisioned

31

Back to Benchmarking Mobile CPU Performance

32

?What’s the Next Killer App?

Looking Beyond Current Generation Workloads

▸ Low user satisfaction

▸ High core count, high frequency degrades satisfaction

33

Face detection (e.g. NameTag)

▸ Was using dual-core ARM Cortex A9 processors based on 45nm

Looking Beyond Current Generation Workloads

▸ Low user satisfaction

▸ High core count, high frequency degrades satisfaction

33

Face detection (e.g. NameTag)

▸ Was using dual-core ARM Cortex A9 processors based on 45nm

Considering the Mobile Device Virtuous Cycle

34

Mobile DeviceArchitectureApplicationsEnd

Users

Satisfaction Power Consumption

Perfo

rman

ce

Feat

ures

Pow

er, E

nerg

y &

Ther

mal

Budg

ets

Capabilities

Question #3: Can future mobile CPUs be energy-efficient and deliver performance?

Power and Cooling Impose Severe Limitations

▸ Mobile system TDP is around 3.5 ~ 5 Watts

▸ Full utilization of CPU cores can lead to excessive power consumption

▸ Offloading computation to GPU can lead to additional power consumption

35

10x103

8

6

4

2

0

Pow

er (m

W)

SoCComponents

System TDP

Others500 mW

A7796 mW

A156268 mW

GPU2770 mW

Emerging Programming Paradigms are Likely to Force Us to Hit those Peak Functionalities

36

10x103

8

6

4

2

0

Pow

er (m

W)

SoCComponents

System TDP

Others500 mW

A7796 mW

A156268 mW

GPU2770 mW

Emerging Programming Paradigms are Likely to Force Us to Hit those Peak Functionalities

36

10x103

8

6

4

2

0

Pow

er (m

W)

SoCComponents

System TDP

Others500 mW

A7796 mW

A156268 mW

GPU2770 mW

RenderScript

MARE Runtime

SIMD.js

Battery Imposes Severe Energy Constraints

37

3500

3000

2500

2000

1500

1000

Batt

ery

Capa

city

(mAh

)

6.56.05.55.04.54.03.53.02.52.01.5

Screen Size (inches)

Battery Imposes Severe Energy Constraints

38

3500

3000

2500

2000

1500

1000

Batt

ery

Capa

city

(mAh

)

6.56.05.55.04.54.03.53.02.52.01.5

Screen Size (inches)

2006-2009

Battery Imposes Severe Energy Constraints

39

3500

3000

2500

2000

1500

1000

Batt

ery

Capa

city

(mAh

)

6.56.05.55.04.54.03.53.02.52.01.5

Screen Size (inches)

2006-2009 2010-2011

Battery Imposes Severe Energy Constraints

40

3500

3000

2500

2000

1500

1000

Batt

ery

Capa

city

(mAh

)

6.56.05.55.04.54.03.53.02.52.01.5

Screen Size (inches)

2006-2009 2010-2011 2012-2013

3500

3000

2500

2000

1500

1000

Batt

ery

Capa

city

(m

Ah)

6.56.05.55.04.54.03.53.02.52.01.5

Screen Size (inches)

2006-2009 2010-2011 2012-2013

Droid (2009)

Galaxy S (2010)

Galaxy N (2011)

Galaxy S3 (2012)

Galaxy S4 (2013)

Battery Imposes Severe Energy Constraints

41

3500

3000

2500

2000

1500

1000

Batt

ery

Capa

city

(m

Ah)

6.56.05.55.04.54.03.53.02.52.01.5

Screen Size (inches)

2006-2009 2010-2011 2012-2013

Droid (2009)

Galaxy S (2010)

Galaxy N (2011)

Galaxy S3 (2012)

Galaxy S4 (2013)

Battery Imposes Severe Energy Constraints

41

3500

3000

2500

2000

1500

1000

Batt

ery

Capa

city

(m

Ah)

6.56.05.55.04.54.03.53.02.52.01.5

Screen Size (inches)

2006-2009 2010-2011 2012-2013

Droid (2009)

Galaxy S (2010)

Galaxy N (2011)

Galaxy S3 (2012)

Galaxy S4 (2013)

Battery Imposes Severe Energy Constraints

41

3500

3000

2500

2000

1500

1000

Batt

ery

Capa

city

(m

Ah)

6.56.05.55.04.54.03.53.02.52.01.5

Screen Size (inches)

2006-2009 2010-2011 2012-2013

Droid (2009)

Galaxy S (2010)

Galaxy N (2011)

Galaxy S3 (2012)

Galaxy S4 (2013)

Battery Imposes Severe Energy Constraints

41

3500

3000

2500

2000

1500

1000

Batt

ery

Capa

city

(m

Ah)

6.56.05.55.04.54.03.53.02.52.01.5

Screen Size (inches)

2006-2009 2010-2011 2012-2013

Droid (2009)

Galaxy S (2010)

Galaxy N (2011)

Galaxy S3 (2012)

Galaxy S4 (2013)

Battery Imposes Severe Energy Constraints

41

3500

3000

2500

2000

1500

1000

Batt

ery

Capa

city

(m

Ah)

6.56.05.55.04.54.03.53.02.52.01.5

Screen Size (inches)

2006-2009 2010-2011 2012-2013

Droid (2009)

Galaxy S (2010)

Galaxy N (2011)

Galaxy S3 (2012)

Galaxy S4 (2013)

Battery Imposes Severe Energy Constraints

41

54% of end users preferred size

Unsustainable Desktop Processor Techniques

▸ Mobile CPUs have been borrowing desktop techniques over the recent several years

▸ Mobile CPUs are far from delivering desktop-level performance because of stringent power, thermal and energy constraints

4

3

2

1

0

Cloc

k Fr

eque

ncy

(GHz

)

2006

2007

2008

2009

2010

2011

2012

2013

2014

Year

Desktop Mobile

213

29

25

21Cach

e Si

ze (

KB)

2006

2007

2008

2009

2010

2011

2012

2013

2014

Year

L1: Both L2: Mobile L2: Desktop L3: Desktop

8

6

4

2

0

Core

Cou

nt

2006

2007

2008

2009

2010

2011

2012

2013

2014

Year

Desktop Mobile

100

101

102

103

104

SPEC

Sco

re

2006

2007

2008

2009

2010

2011

2012

2013

2014

Year

Desktop

Mobile

bzip2 gcc libquantum omnetpp

Comparing against data from Stanford’sCPU DB: http://cpudb.stanford.edu/

How Do We Design the Next Mobile CPU?

Homogenous CMP Simple cores

Homogenous CMP Complex cores

Heterogenous CMP Specialized Accelerators

?X XX X

43

44

Solutions

44

Utilization

Solutions

44

Utilization

Heterogeneity Heterogeneous

Hardware

EventQueue H3 … H2 … H1 Dispatch

<Core, Freq>

PI, PU

Detector

QoSMonitor

ModelConstructor

Recalibrate

Event-Based Scheduler

Event Info

Models

onkeyup=“H1 () {…}”

keyup

onchange=“H2 () {…}”

change

Event execution feedback

onclick=“H3 () {…}”

click

Solutions

44

Utilization

Heterogeneity Heterogeneous

Hardware

EventQueue H3 … H2 … H1 Dispatch

<Core, Freq>

PI, PU

Detector

QoSMonitor

ModelConstructor

Recalibrate

Event-Based Scheduler

Event Info

Models

onkeyup=“H1 () {…}”

keyup

onchange=“H2 () {…}”

change

Event execution feedback

onclick=“H3 () {…}”

click

Customization

Solutions

44

Utilization

Heterogeneity Heterogeneous

Hardware

EventQueue H3 … H2 … H1 Dispatch

<Core, Freq>

PI, PU

Detector

QoSMonitor

ModelConstructor

Recalibrate

Event-Based Scheduler

Event Info

Models

onkeyup=“H1 () {…}”

keyup

onchange=“H2 () {…}”

change

Event execution feedback

onclick=“H3 () {…}”

click

Specialization... ... Rule j

... ...

Prop l

... ...

scratchpad mem(input)

Rule i.id

... Prop m ... Prop k ...

Rule j.id

...

...

... ... ...scratchpad mem(output)

start end start end

Rule i

Prop kProp m Prop mProp l

computelanes

Style l Style m Style k

conflictresolution

Customization

Solutions

44

Utilization

Heterogeneity Heterogeneous

Hardware

EventQueue H3 … H2 … H1 Dispatch

<Core, Freq>

PI, PU

Detector

QoSMonitor

ModelConstructor

Recalibrate

Event-Based Scheduler

Event Info

Models

onkeyup=“H1 () {…}”

keyup

onchange=“H2 () {…}”

change

Event execution feedback

onclick=“H3 () {…}”

click

Specialization... ... Rule j

... ...

Prop l

... ...

scratchpad mem(input)

Rule i.id

... Prop m ... Prop k ...

Rule j.id

...

...

... ... ...scratchpad mem(output)

start end start end

Rule i

Prop kProp m Prop mProp l

computelanes

Style l Style m Style k

conflictresolution

Customization

Solutions

Utilization

45

Workload Characterization

Performance/Energy Analysis and Prediction

Resource Allocation and Mapping

Taking Web Browsing as an Example

46

A Case for Heterogeneity

47

A9 1.2GHz

A9 920MHz

A9 700MHz

A9 350MHz

A8 800MHz

A8 600MHz A8 300MHz

www.autoblog.comDifferent operating frequencies

47

A Case for Heterogeneity

47

A9 1.2GHz

A9 920MHz

A9 700MHz

A9 350MHz

A8 800MHz

A8 600MHz A8 300MHz

www.autoblog.comDifferent operating frequencies

47

A Case for Heterogeneity

47

A9 1.2GHzwww.autoblog.com

Different operating frequencies

47

A Case for Heterogeneity

47

A9 1.2GHzwww.autoblog.com

Different operating frequencies

47

A Case for Heterogeneity

47

A9 1.2GHzwww.autoblog.com

www.newegg.com Different operating frequencies

47

A Case for Heterogeneity

47

A9 1.2GHzwww.autoblog.com

www.newegg.com Different operating frequencies

47

A Case for Heterogeneity

47

A9 1.2GHzwww.autoblog.com

www.newegg.com

A9 700MHz

Different operating frequencies

47

A Case for Heterogeneity

47

A9 1.2GHzwww.autoblog.com

www.newegg.com

A9 700MHz

~40%

Different operating frequencies

47

A Case for Heterogeneity

48

www.adobe.com

Different operating frequencies

A Case for Heterogeneity

48

www.adobe.com

Different operating frequencies

A8 600MHz

A Case for Heterogeneity

48

www.adobe.com

Different operating frequencies

Different uarchitectures

A8 600MHz

A Case for Heterogeneity

49

Different operating frequencies

Different uarchitectures

www.adobe.com

www.newegg.com

www.autoblog.com

A Case for Heterogeneity

49

“Webpages variance” in load time and energy

Different operating frequencies

Different uarchitectures

www.adobe.com

www.newegg.com

www.autoblog.com

Scheduling Results

50

Cut-o

ff Vi

olat

ions

(%)

Ener

gy S

aving

s (%

)

Scheduling Results

50

0

25

50

75

100

0

10

20

30

40

OS (Big) OS (Little) WS

Cut-o

ff Vi

olat

ions

(%)

Ener

gy S

aving

s (%

)

Using a performance strategy as the baseline

(Big+Little)

Thank You

51