Fourth generation MOSFET model and its VHDL-AMS … · May 7th, 2004 MOS-AK Group Spring'04,...

Post on 31-Aug-2018

215 views 1 download

Transcript of Fourth generation MOSFET model and its VHDL-AMS … · May 7th, 2004 MOS-AK Group Spring'04,...

MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

Fabien Prégaldiny and Christophe Lallement

fabien.pregaldiny@phase.c-strasbourg.fr

ERM-PHASE, Parc d’innovation, BP 10413, 67412 Illkirch cedex, France

Fourth generation MOSFET Fourth generation MOSFET model and itsmodel and its

VHDLVHDL--AMS implementationAMS implementation

2MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

OutlineOutline

Introduction

The 4th generation of MOSFET models

New quantum surface potential model

Model implementation in VHDL-AMS

Results and comparison with experiments

Conclusion

3MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

OutlineOutline

Introduction

The 4th generation of MOSFET models

New quantum surface potential model

Model implementation in VHDL-AMS

Results and comparison with experiments

Conclusion

4MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

IntroductionIntroduction

Scaling of CMOS technologyThinner gate oxide tox

Greater substrate doping level Na

Increasing importance of quantum mechanical effects (QME) and polydepletion effect (PDE)

Increase of model complexity and number of parameters (e.g. BSIM 3, BSIM 4)

Development of a new physics-based modelAnalytical surface-potential-based modelQME included in a fully transparent wayStraightforward use of a charge sheet model

5MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

OutlineOutline

Introduction

The 4th generation of MOSFET models

New quantum surface potential model

Model implementation in VHDL-AMS

Results and comparison with experiments

Conclusion

6MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

History of compact modelsHistory of compact models

10µm 1µm 0.1µm

Lg < 0.5 µmLg > 2 µm Entry into thesubmicronic era

7MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

Major compact modelsMajor compact models

BSIM 3v3, BSIM 4: threshold-voltage-based modelsRegional approximations

Smoothing functions used as a model (e.g. drain current)

Increasing complexity, dramatic number of parameters

EKV 3.0: charge linearization modelBulk used as a reference: symmetric model structure

Alternative to surface-potential-based models

MM 11, SP: surface-potential-based modelsModels close to physics

Explicit formulation of the surface potential

Symmetric model structure (idem EKV)

3rdge

nera

tion

4thge

nera

tion

8MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

SurfaceSurface--potentialpotential--based model based model

Why φs-based models ?Starting point is Brews’s model which is totallysymmetric and satisfies all benchmark tests

No discrepancies between I-V and C-V models

Single equation for the whole operation range

Major drawback: time consuming!!

Solution: explicit approximation [1-2]

φ = ( , )s gb chf V Vsuch as [1] R. van Langevelde and F. M. Klaassen, Solid-State Electronics, vol. 44, pp. 409-418, 2000.

[2] T. L. Chen and G. Gildenblat, Solid-State Electronics, vol. 45, pp. 335-339, 2001.

9MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

OutlineOutline

Introduction

The 4th generation of MOSFET models

New quantum surface potential model

Model implementation in VHDL-AMS

Results and comparison with experiments

Conclusion

10MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

Quantum mechanical effectsQuantum mechanical effects

High channel doping and ultra-thin gate oxides result in a very high normal field at the Si -SiO2 interface, which in turns leads to:

Significant bending of the energy bands

Narrow potential well at the interface

Quantization of the carriers motion in the ⊥direction to the interface

Splitting of the conduction (valence) band into discrete subbands

Displacement of the inversion (accumulation) layer carrier distribution from the interface

11MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

Quantum mechanical effectsQuantum mechanical effects

Energy band diagram (in transversal direction) of an n-MOSFET

12MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

Resulting effectsResulting effects

QM & PD effects change the relationship between charges and applied voltages

Increased surface potential φs

Reduced inversion charge Qinv

Increased threshold voltage Vth

Reduced drain current Id...

C-V characteristics are particularly affected

Analog and RF design require a consistent modeling of all electrical characteristics

13MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

QME modeling QME modeling –– inversioninversion

Approximation of the variational approach

Concept of moderate inversion approximation [3]

where nall is the equivalent carrier density

ε′ ⋅ ⋅ ⋅ ⋅

1/32

2

( , )12( , )3

all g chg ch

si

n V Vm qb V V

( )2( , ) ⋅′ ′= ⋅ − −oxall g ch g to ch

Cn V V V V Vq

[3] F. Prégaldiny, C. Lallement, R. van Langevelde and D.Mathiot, Solid-State Electronics, vol. 48, pp. 427-435, 2004.

and an hyperbolic smoothing function′gV

14MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

QME modeling QME modeling –– inversioninversion

This provides an explicit relationship betweenthe quantum increment of the surface potentialand the gate and source/drain voltages.

Quantum shift of the conduction band, i.e. pseudobandgap widening

In terms of surface potential we get

∝ 2( , ) ( , )w g ch g chE V V b V V

( , ) ( , ) /δφ =s g ch w g chV V E V V q

15MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

Model validation Model validation –– inversioninversion

Comparison between the quantum and classical models

16MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

QME modeling QME modeling –– accumulationaccumulation

Structure of the valence band more complexHow to achieve a simple, analytical and efficient model?

Triangular potential well approximationWe should take into account several energy levels...

Problem: how then to define a pseudo bandgap wideningas in inversion?

Choice of a semi-empirical approachDefinition of an equivalent density of majority carriers [4]:

[4] F. Prégaldiny, C. Lallement and D. Mathiot, Solid-State Electronics, vol. 48, pp. 781-787, 2004.

=

−= ⋅∑

2

1

( )( )

ig fbox

acc gi i

V VCp Vq a

17MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

QME modeling QME modeling –– accumulationaccumulation

Quantum shift of the valence band, i.e. pseudobandgap widening

In the same way than in inversion we get

′ ∝ 2 3 where( ) ( ) w g eff gE V F V

δφ′ ′=( ) ( ) /s g w gV E V q

∝ ( )eff acc gF p V

[ ]φ φ δφ= ±qm at a given bias ( , , ) gb db sbs ss V V V

Finally, in both inversion and accumulation regions,the surface potential is defined as:

18MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

Full model validationFull model validation

Surface potential computed as a function of gate voltageSymbols represent results obtained by a self-consistent resolution of the Schrödinger and Poisson equations.

19MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

Charge sheet Charge sheet modelmodel

for where , g, s, d or b

for

∂+ = ∂= = ∂− ≠ ∂

i

jij

i

j

Q i jV

C i jQ i jV

Definitions of the charges:

Evaluating the transcapacitances:

b ox sQ Cγ φ= − ⋅ ⋅

( )g inv bQ Q Q= − +

( )inv ox gb fb s p bQ C V V Qφ φ= − ⋅ − − − −

20MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

Comp. with SComp. with S--P simulationsP simulations

Gate transcapacitance as a function of gate voltage

21MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

OutlineOutline

Introduction

The 4th generation of MOSFET models

New quantum surface potential model

Model implementation in VHDL-AMS

Results and comparison with experiments

Conclusion

22MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

VHDLVHDL--AMS code: the AMS code: the functionsfunctions-- Functions declarationPACKAGE fab_functions IS

... ... ...pure function phis2_qm(Cox,Vg,Vch,...,phit:real) return real;... ... ...

END;

List of all the functions

-- Functions definitions

PACKAGE BODY fab_functions IS

-- Classical description of the surface potentialpure function phis2(Vg,Vch,Vfb,…,phit:real) return real is

variable ret :real;begin

ret := ...;return ret;

end phis2;

-- Quantum description of the surface potentialpure function phis2_qm(Cox,Vg,Vch,...,phit:real) return real is

variable ret :real;begin

ret := ...;return ret;

end phis2_qm;

END fab_functions;

Definition of each function

23MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

VHDLVHDL--AMS code: the AMS code: the entityentity

library ieee;use ieee.electrical_systems.all;

ENTITY mosfet IS

generic (W :real :=1.0e-6; -- Gate widthL :real :=1.0e-6; -- Gate lengthNa :real :=5.0e23; -- Substrate dopingNp :real :=1.0e26; -- Polysilicon dopingtox :real :=3.0e-9; -- Oxide thicknessMu0 :real :=0.050; -- Low-field mobilityVfb :real :=-1.0; -- Flatband voltageTheta1 :real :=0.20; -- Mobility parameter 1Theta2 :real :=0.30); -- Mobility parameter 2

port (terminal G,D,S,B:electrical);

END ENTITY mosfet;

24MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

VHDLVHDL--AMS code: the AMS code: the architecturearchitecture

use ieee.math_real.all;use user_fp.fab_functions.all;

ARCHITECTURE quantum OF mosfet IS

constant T :real := 300.0;constant q :real := 1.602e-19;...quantity Qg_qm :real;quantity Qb_qm :real;...quantity Vdb across D to B;quantity Vsb across S to B;quantity Vgb across G to B;quantity Ids_qm through D to S;...

BEGIN-- Gate charge density

Qg_qm == Cox*(Vgb-Vfb-phis2_qm(Cox,Vgb,Vsb,...,phit));

-- Bulk charge densityQb_qm == Cox*gamma*(phis2_qm(Cox,Vgb,Vsb,...,phit))**0.5;

-- Inversion charge density / Drift current / Diffusion current / Transcapacitances / etc....

END ARCHITECTURE quantum;

25MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

Simulation resultsSimulation results

26MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

OutlineOutline

Introduction

The 4th generation of MOSFET models

New quantum surface potential model

Model implementation in VHDL-AMS

Results and comparison with experiments

Conclusion

27MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

Comparison with experimentsComparison with experiments

Drain current of an n-channel MOSFETExperimental data from an advanced Philips’ CMOS technology

28MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

Comparison with experimentsComparison with experiments

Normalized gate transcapacitance vs gate voltage Experimental data from a 0.18 µm CMOS technology (Philips)

29MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

Comparison with experimentsComparison with experiments

Cdg+Csg transcapacitance of an n-channel MOSFETExperimental data from a 0.18 µm CMOS technology (Motorola)

Noempirical

parameter

30MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

ConclusionConclusion

An analytical and quantum surface-potential-based MOSFET model has been presented

The new model describes accurately all the fundamental electrical characteristics of MOSFET, and that from accumulation to strong inversion

The quantum model requires no additional parameter in comparison with the classical model

Implementing the model in competitive HDLs such as VHDL-AMS and Verilog-AMS is straightforward

Comparisons with numerical simulations and experimental data show excellent results

31MOS-AK Group Spring'04, Stuttgart – F. PrégaldinyMay 7th, 2004

Thank you !